From 4be1eb7d28174ba545cfa46817f0972313250778 Mon Sep 17 00:00:00 2001 From: NorbiPeti Date: Sat, 11 Nov 2017 00:39:06 +0100 Subject: [PATCH] Added Java analyzer using NativeBass --- pom.xml | 23 +++ .../nativebass/1.1.2/nativebass-1.1.2.jar | Bin 0 -> 246718 bytes .../nativebass/1.1.2/nativebass-1.1.2.pom | 9 + .../nativebass/maven-metadata-local.xml | 12 ++ .../norbipeti/audiospectrum/Analyzer.java | 160 ++++++++++++++++++ .../norbipeti/audiospectrum/BarsRenderer.java | 6 +- .../norbipeti/audiospectrum/PluginMain.java | 21 +-- 7 files changed, 215 insertions(+), 16 deletions(-) create mode 100644 repo/jouvieje/nativebass/1.1.2/nativebass-1.1.2.jar create mode 100644 repo/jouvieje/nativebass/1.1.2/nativebass-1.1.2.pom create mode 100644 repo/jouvieje/nativebass/maven-metadata-local.xml create mode 100644 src/io/github/norbipeti/audiospectrum/Analyzer.java diff --git a/pom.xml b/pom.xml index 8deb1d5..8002f05 100644 --- a/pom.xml +++ b/pom.xml @@ -15,6 +15,19 @@ 1.8 + + org.apache.maven.plugins + maven-shade-plugin + 2.4.2 + + + package + + shade + + + + @@ -30,12 +43,22 @@ spigot-repo https://hub.spigotmc.org/nexus/content/repositories/snapshots/ + + local-repo + file:///${basedir}/repo + org.spigotmc spigot-api 1.12.2-R0.1-SNAPSHOT + provided + + + jouvieje + nativebass + 1.1.2 \ No newline at end of file diff --git a/repo/jouvieje/nativebass/1.1.2/nativebass-1.1.2.jar b/repo/jouvieje/nativebass/1.1.2/nativebass-1.1.2.jar new file mode 100644 index 0000000000000000000000000000000000000000..1ace9f1e8adec2e455f5bf629bf72ea042b66372 GIT binary patch literal 246718 zcma%>LwhC+ltg26?2c{Qwr$(C-q^Nn+v?c1ZQIFw&#Y!SwYoo{Zk?kb4GM+|1O){J z1g$792=sq~`rj=psv<}$DJMoRD<~%^CaSDLCo5LtBe__lk$BxZb-}+>=&r#^5bV!( z30{WKn@+>{Op-1kGt#Kg@^4H`mpZ97n`;X`-&;V|RaN1>=(JkIgGDI3~gk@fqI z@wGe0|9d~{SFV`zHQTpA2s-J_q^7(Xpt#DL2%TMa032Caoz&-(R@BjPJbtJD=C_MC z&++q!k1qf78Lam+jknIOc)nN}$nNN_LYK=^ z_p!Sxn|9reDw*Mbe;F5e$THPtiI<2}EHU{Z8Li~cXq$^KzU9IyOp_rwWp{SQy8m)vsx%M9I6;@!&xj!b85!+)ZkC?{@Qdq@ZgTC7czTtKcEY%|M_ zF=!P*`)vxVrXt(nyRh*kK{C@Y>(U`7ULq6iId*lCb94%AHt{j|1;gF$Z$chK&u(&_ zS2LHiRJG&ZE;;29vfaH z@56atJ+XHratNpZ`j(a#RlBZvK!h5bq0HtBj3LW$JWgJza4FP}GD2#JCmbge7Ilt& zqZVb3jeJXxc8^g4SXkN*8Zf0tzfr@bb^7DlMLU^7onPz^A1P2Q3@|G*b!m7`hgbiL zsTF%cV1X3h^5eg-#iVew$bXrxnKVqqAF?kd>D-!^yKGCAF73t|AoH zKaSym+b;{*dD)dlmPZ#8tb^~%N{#oA$-|60cXGpS9h( zGK$K9ga|B;^rPhgT8v(uo8vjlZnSs#WEIbkW}ToKm<$*p>MjQz%UJ4*gyo|hJr2}8 zD>%(A$P8mi2bA$uddIy$y)}}7n?sSbjIXjgo-x72)C^)_J?T@U8p~CsBCXv*`JF{e z$jzz3YT4NQQ_BKwj-qGNZxt9lHT&D3XMeKpx1k(6BUxRP9TgCV*0xK zr4Rt5JZCFk?He@0wi*^}#xx(yyt*+@`$@`#WOgB{_eV9ag7C=5wm-ptJE( z@@o!?KHdfMW`7;t`S&fAuzSjALiyr9F2fHq)IpzYPKYj&9@LuL{k z8?;_L2WM(A`9utX`4^>P`0Frvi$bA?#8vVlK~+9(psxG5VY3q@2Dw{8<>1mFJ7q_2 zUq8(FU@42SS1Ht}FYYN@bDDKTIGyHPof203v4@Mh+AN{M9&qbU6mgHY?!PpfCX&ZF zek@IA<|MRsJ8GL4&dTwz;nvkXYZ8Cd=P)JcFt!@!zU84Ex4uIy*3Mcbqps?Q>2vEO zDb?>KG z>Ana7gqFu+D;2H2BLx0bb9q;?w^vWgA@zokCZ`c{aFaD+6+{(v z9jEe0?Bq#L7#oItYh;qrbpoauzhge;Fx2i7c5Rg|P0^gQ$)s3Wq#Pac_Oi(HX4$Sj zTW|lwa}KIJGg%Ps&wQs$C}xH3)p7L4Btn zl%v5~ld08p9Q;U{X+2|oM_acxEoa~1@Z#keKsOdPcnZ(-oD?sD9OIMQ2ZTpKH`#+m z%S9Z~b?0HWyM=I|$GeQAlBWyIiWnT<=*0th{!yL3{f(@P=*@#vd{d$514g1KBepmt z3-wTT=w=yCBWMdIIC;8kgQT{ad_+ZG>S`V)lQ(MSY1X}_15#TS@a~(-HO3nRM2bb1mW39pwhIIKR1-b#S-I*q zCb-xCF*MODvVX2hN%Qh`+x5qt}K{6-BQvfcAkyeve64f&(MB-g|!b+GXw zJ`NzbC>&Oc8mheJ3x73<H};PDK20zxZmcssEH}Q=eEdl z-K1G(-jabyC9{eJ?;^QP?<1(Ga_)G=o^2MAD!K5~`@qwi#Ni`j898Y<32f~zCd^QRP#B8UK*cS7WhGHSjEc}smN~jZY)x%~n2rb+Z z&ma$mK_4R$2+~`rM1>-q7z?if94yCbX|?p7j0~^az12bts24U*x)(U=S+{&fE2hiB zCL8-LG5%Z<7&|rU8vZ#|$}CJTww9N4b`4`h+Z?ehkUzvOpOFTrFT1~x%e}kgM&m=P zDZz=>;L10}Ju^yZQWs*WAze#rKX(Bpp4kLDzY1nQs{4hkr)vdBrfJ^wQsLGFY0AJQ z6V4kz8|cF(vDK)Hjw`}>53F}%eV7bk^(qr2sXdW_RgufZy3b^;4{pm@)EcW)t@)Bo z9q+h2;|t=5htw7M8ozVOljNN6LYFW=)l@C}L>h{-3jwn{ez_UmqdRu+W1Q1Nc-9!d zhH?h*qH76Y>J)I&qR6X~wM(*Nd-qad+i0y=OMr&S%@9u68_n->kh|y-e5xY8Rsm$p z#Y9Yjh~A+zS=I+|A^cW&&p48FXp~#}qn@ASu#Hwqg}|x$!_YUlvtR#p?j^ot1{~yO*HK*}#lR8fjPvZ{u?|W-MEFtzfJ+1>0w6HI z2l&-H3&y%&N32cztYn$lXY2YK+Iqg*LZ+qlxI0kjZY%t7=@+kO6@0^OYLGaaGr6v< z!vK^mSv|aT0-DUcR8#IOhI4qRXr1TpF`dlkK2m4#!?4-(AYHbKze(|xjMj^==^R<2 z_qCX999~SuW5jJ`LV3zC;@y!kW)NAQPmNPpjfWpm$9wdWG9aX_|8!9*S-ZS0FiCtA z$f=c5dM$_AC>H;NqP)}C?>#asPNtUFE|K)`sCp>ml-3l)9=z?%rYOT#8(jR~P10Bn zR5hPjxS!~57_B7cJImoR@&H{@Uk)jgeOL7IwZMdabv)+4qn)w&5SZ{Vwl_zr(T~PxEF&+_ zU_GWCr7QIOjgvJ{NI(z4fVps}^k=U(wbDR(;%?C)(+hXZYvJ)2;ygjm;k;Li%Y;t| zu7d)-pwH$g+HolZLurJoSurK!(4{w?-SDzSxV#(&OpyAH zGQm~5%8zD9f$6l-ZsjS5@QF|))U_1$&2U(unpbK;L9TKKehO)r@?{7401iOn7eHgd z%$X}FQbm@&YV|ctL5!pE?{8Uuxl+oU%+n;Ac)Y(1|DT!L=u`L-7v+fdW29qHyln0& z%A2Zxw1sB|xVHR2JjU_~q3*$1KIICDM+Ir24xllUp%V!9gCK5X>C}=9dSL557>mAW z&%Ra!V0AC}Qc3!++*`sMmaD=k$FB+5v$WFr>6pfXAv~0~E6_zo`9P}ay@?cUdm3_| z&Sx4l)IHoI*~?4F>q-oi`rV==kFH@3iE@G7rz&+bma{y)UXkr{qf`6tQS`-6Zcqne zr`BzC-2HCloDYoJ6Qal=c6|pWxR|Pe3!eKVb>w$JOoM1~B6Hbwc?fZ__1V{A2JyE6 zjH3oJE|ysSrrdli;68}^)=f{SZ46VhSJ*fMsdc!rg9=-yN|PIoqUKLZh}q4J`lOxg z1L+2AXGe)^_n}jH%y%h((L~RBa%+-+tTL1o_SqC~RTjjmS|ReJ(#5l%s~{*!c)RC@ z>Z_&`3~A>n;|=$MNwS2Izq%qG%yJLm*6LYwuxL^r7R#huJoFNK?etC$86O{z*L#;F zBD`entR;^DZ>~Lwk}? zjdvhnte!}q2*tQ5D#`~=l3vW|7_ZK>FBp|o@ue;%s7;5VH+F2)%n@FqNq|i`cgA)6 z6Wqs;YS+-Y6E)kV02=jRES^BFvme4fZvMK$+^Qye$ zeq2nnYh}v%07HdWGC*#E7>Tk|59n6Vgp#BAewJI+jjv1>KlWg@A5QQ2BOWY!Px$t9 z(~BZb?&I{qp(DJsFVLByG%w!F+VcjEaNuq6Wt6#29O+a&cZ=MHCQCP?YQ^bvN)=k3 zQ^H;JRY-~+V{4{H11;Zbzf9_i%t;Ou8GlW4wYD=;^k@*U!RfHw$GekOGpr`ffB}Z| zbu;ODNL>04JJgRXkFR+ubtpl2(t)998h|sU!TR~L`rm97-k#tIkl7ri&(ps$`HeO9 z`x9OAMXUk(NqE{^nF?|9`G1IcPLb$VdHy45fH3=1F0XY^!(TmKt{v-1sELW~KwbEN zyNJPpL69Gw2!A;Yc}?j`=LTglq_Y!A)}??0k4khv0{m(r9580Lm7}Oy9@yOEXx&eb z!4)^VVz7Ib)^TyS7?PR1S>^p>v8P%L8skl0wjX8LAz*G zF0*zK(mQI@SMI!l`K+7yc0iOvQZ%>u*eLq%V?SUM#xpHD`HR5h@xjM}T~DjaAG_kE zlvi5?a%FwxdlPJxlzu7DbxN+c(WHQ~bP!XR?aUj&g0Jhwy&~f4QL-4rj~=^7Adyz{ zo_R)-izeSsa?E}D(&;a~`6fg#?JTZ6mInr^X1aLotKG~IEBUsxBo+(hRD_t{n)nYx z1Ro7oJ+f6Yn_II1t3sC(sbB9h-EICKSy9Uei?1mHgatFuB<*Fn# za~-qP6&UWOwnV0PtD4tQ+=`O?xtqh56VB_lbv}EC+(yqcz;5xWO3EP}1?urHA!R#{V=Zin13>{wbk!S_o-f(mbwi|4e|*h2pWEH+ zz3_+r>lwJRGMZXT9R9VP6z17jgho6Qw`wd{gxw4ya?76+H|IC&_F%G(fah!B3x9@* zsyiGqDVtF)M38ho8TlX%VlnVKqM?Ji7Ih1KVio93t6{1je8*n>5>h_T(LpPztXaB( zqS@paDsi2__(5P7c4?JOC-%oNv(RU8!d}hgH(rO{{hT(YG4xqcO=yA2#3?Plz|#=- z)a~-D3C+)g3(1gRx;P8@SnEyw`=d6>fBVh1@RSTXtY2Fr<`}pUI8}}ja{h0gkWcwM zw!}6Nb2ZnozF&IX6CZ}&EHc#3XhL&^cMbXl&ZX9Y)r<+8{)RCT;kqU|May50KA%}0 zr<>~5nZ~{zgjxE4vHu3Yx?5ebjFVWr%TFKbaA!$UqM5U;8JfhUqSkfLc`BNs-&_v!}Ye@`85rFzMx>nigNLkz*^$ zZ31Kc3h@G$s1wC0lhvV<=cEMt_@_VZaiG^dwGBGl@rmh)Ai^z$Za~{J{gID+5vw6v z_SwCx^iZAXXYay2*Q+obyZ`11c?aFR$x~Vf)$1ihfr z;#?;A*2H8av1ApgbtX((Dlqt)9DaJ}q{d3^V`QniTt}7mZR#m&fkoTIpUFW8@El|k z=m}C?P&iTHaR#G*L4;)7TlvPtnV|gmmRE{)=z-VN)2imY?)95frN6-ob2xhMw#y}F zL$1J`nSP`%{tBQYFZt+CZl(J_@?MT~qtup(n3xSJ_i?kJG<>bzmIdACLEg#c6e(l?H1aEOL!+>fo zS7^j~NG4V?>B$$uf;#-&e9h2D1jl*DnY+b@=LBred$8|aW5FE?~352!Ol)-WjwcpO{O*`#1r9>H3{-Odh-B#oxT7EOOzEhm> zjTOs}xzyEZ(8!^>tWPq8`W+?|qBdU^dh|j`{B<7DkN5RMzwyNu_mg8Ij33Ojw={)K zl;bx}#7G_nJ&=_46=}bQNKpMXU|>G+w~M~fpu1>n@#SkN=nGg)vfd!;_3@L~_)tHn zIOcSH0w^Yo57jkCRSrc(`LuG5c0n;bFwiPFJN8#v{y}hA0`w$dGJ+S!&vL|!I8$ci z6z@K8`In`4Ya*tws`x?Ovs1-`CeS+q`5x!8H3=@PHo`&(DdT z19-}R@2z$fge&vyvfAe>viCjLna*+3=V&PCb&uztpfjMrRW`ngs-F6`9p8;$ChkK_ z@MF(M5g}YCtGRZMpv2zRxx|8wH0C;ZUH^J8J~G?CjEea=-^ZnS!I#{FY%DNpAC!z^ zEIoN~n|1~_m(Y^Ec=1B}pl%#cB@FG-x(ylT&=MG0k_0J?0*3HW$kmyBL%1|W)X=b- z<^+U=VF-_=H-_EqQM(Zr47=#M<|P^>LZ8@kj`eKqBJ910T{gPRQV*Hvgy?wPP>Fo-qnY>Ig2!Vw?_6qe6M1?0 zzVk3q*;7W_?~<{i=Q>8zm1qFuYrGMY(R^l2d&yVpT_0a_zfZ6B8%ADPVoZguV3@;< zMQKK^a@^g=VT(^gzkjyzh{>^t_F&6#@h^_2rH0YE=<~*CmAx&<(wS#tX}ZWNugN(5 z9Dkn`eJ@DOeSRLI?4=%7V{!*umUnhesg$CWN0bod92nN9<=~Agw-Zl2;AV}e{uCd@ z;=@6BNIR>X==Q*wkEa?@On2}dK!OznbsNu2f+LFNTl5=@!7k@H5wQZyzCK;uUTa%) z3L&AL6s}IE1au|UMF*tiu7^3o#ZKYW8j5pFC(?h+GM~5UF4i)|AHpUz9a2TusS53v z9OP9r*VCN@E(wT-Q>mY?LS*T3L9QX*)_1P7Ju}px)J&fa_-ri&Y^;Jq%ew3@mHY)n zIrErcRPli|IX2^}Zt>^7_Dl6ZRoif=6x{=P=xagk9zKf==B1w9Rw(1eErGaq>9@;Z zc1k^ApYm-)RRkL8PN~9Hn&yrZdclSLVN%VU7kM9Eqb7-yL%yRmibQ0Sag~|PY>Lav zi-(A)kqR=aCHJ(KB;PxA@l3N?Z8d^RF@dkiGv8N_2{U}X&9OsPkTP733Ri@@!DAf% zUdU|U!bFy}nk#s5`Ja;WiLQsGIRQ9@1bMqX_-8TLGd0qfGQD-LjkJoIu5AT*P~v53 zD0b&V19%$#yfcED&}1$lgq`XwMX!?k*|Dw*%}gotZ9l-?rBMDANhIgoZh9}+)MEdo zxP5=^?@(4~J2g1XaBm`C;~Ow82q&tlBAMGC3hl~GH$C9jd7sb^66;0$I)Z)esD-VI->6_nj$N0)zu385H(n_ z>c*=_HJn$At=}Zp<-vsO!>q$tRjeADlqpc*cT>z(#f1uchcfCs(eXq+NwyK%fiLTY zt)G&6q{UiK;(Il2u*oozv_)htvS0$%a=$}D<8=veb*m*r73dV8HjAd?*47drl8ef% za}r2sc3|sz1D@<5c56HCg*4sVvSxIWw{ zFBNXOoGp3U*|7kP$SIT>S_xFrrck>Sgg`&vDXjIXmCejq0r%AZ zZd-*Qj+}kS^_7iX>VpXeKfd;JQLameHGX~ecr4B z^=UM^ui5bNE}Px#C*LnTFYc@dQdQgKBx-JK4r{YpvHrv8>|lkdfEpL^a<7dF-`4Zd z^x`xOqqiTZVuRV$sTL5j2?t)BTQ72IwzXAOUL1*lf5!gz`DED;jZt1Uxz&9mF2*sh z6ivb1AD-R{n@o^e-xFBu@DfW3zo5LYw{716!ZJEWC)!;4;!IHKnaA878t;}gR|bS+ z1}c#q{Br{Rp$rcHV97kp!Zzoh0VaPmP3u_{>kw3| zqqn9P*k&HxT6fEXctib={Q4POdgPYLQ^&G3~>k zO^ovoDuj?R=lNaIn=nmfeJ#ADP;ESQEX?V#s~`bnV(UOeiMh)TLS?-AoP%?hErH)A zy1B-?gQnXHkjCN?k#tn;37amL;}$H2zXrp++MVX)k-Txp-!lrIKl@IT`s)1f%iTiv zL&sdn^w~d%dBL0(ys_tWE{t*oo~y&!aD2J{wh@1sECA}Kvtd!grB-wtzDjuo*qD7UU)aAY@BY83Q-<*t$cx|sH0L1{d5 z9%#^Ry)YpByyF39R;pR=Ppo(=0}UV7l$yRCfI4z6QAdnrnq&?J+gJgc2>@?p`~ud0DL^Y?o6zWrs$1$J?7-^* zlBxgpT!7CP18+1meoV3VbbatrHE?$G7b&?bTz?%5c$nNr@#M0{a=^q=6VlrQbX!a-rKY}!G6s|mftV;IV(3?%jo$EBvtl$)ragU zrQ^Kj`pfdL)IwAwJfTSY9i)E2VtqCEUwdYszo*i{ejeQay7oz%np8-O<=D3mfdp3) zY)aoE_PZQI{hz?PCLPziy6>eYdO6#jo!g_OLinxv?d zD4nucj?Xx9ky`6@H_-(?PkYd7pu;>&c{q1IO3WEIa1yK+;fGp&U7u8$ZtJ9&n%98{ zrX_8Tvs=^3&Zj||DE9PZ`uCaXp^DpepP&CP`1d1ztHf{kUKxHz<5=mtIIGp<*QW6L zkHqYw#>iy0?C(#%wU~8&NuXUmGq#th2jC?^@PZS=dhNgOOc+z(0xvtQtO$$xy)SI{ z>lQz_AsChzGD)uR7Obd}@q;Cg5Z7eK2YeEMoL)LdSNJKTr#~30$zpyUH}&(3f$|&J zD=>!eE014PJOeQ3YPcP-0MwlR{yyUWeubg4uJ`$!jevBQt*cDyB8d6wn=`jhnZiwE z8qM+hsuh;V+O5CwAN3`2WtRT_bo~AhvS8WX3zk#Koio@zxt+8x|KYkuFRHWG#BGT4 zc|8#ZSsZ~siOxk^VCVK%i;@wwcXTDZ~7fR;N3BbRhXAHCDp9S%i%WN zjwGBR!Q2ge9NZ0~>&S*)lsd;7(8|FSEo%y9+oJUqY=zC0a3+YjS;8@zM7?rA4`pX z>eM7%h$Jr)zsJO?vNo4|E4-gUB007TY;E_Y&a_qt3o#n_lh`KlhX{-v#GQ*lWKcBU zeB5t!1&habf0}qHM(p7%FbwaqfE6+{_R4lcF7dzV7Yw_c$oF9Oz(W1{KH$f$z%9Q) zUuFEXhFD^7FGzC+u?#ye+I}F%qUCfSBD=im4p#pqk{W>s7v}isZTI(KN#Ed-skVz^ zT1moWCO@-LY)vlu!}V~bZuGCuN_L=~3%>>&~YT@C*4hy)D%84kO1BBN)-#I7L8>sSl{Rk+Al}(xDx?6uY zeY3@zmF(2-BO8!O&%d3714TY4t$k!i(9M(PrKckrY{xpa>-#6~E^7<*{4YFy#6^0K zE&;nIW_}_cfr&Q`ZuJ!c%S=T4%=79-JOf(uAdZ(~2)T3~(p^~G9f`QR+d9YM{YN=; zwq6LJ#}K@354(nr-Q*3sTm8IGVS(i#B7;lnB7@Ga1@3_}nixL^X2oaN+e=s_8z7QZ z4Sp>paTegS(^EUCtz1Hn_}wvPWiPHa{y}T=5FqVqu}t?O;Cfv=s);f9&=-M^F5#&n zuDIfotO1onl8I&-T;9QDEmG-j3mw>YckvF|)o4-RV3=eF3ceU}jy<0<#t}vkA8W@X z*VXv@&tJb+ULwA;CPDuZ#@1R;u6s+-*|?p2XKJqDOMBH@a!yACav5 z?|MmJD>$Z6`}cq1gBVN+!)-r1wsvyFg4_*yIc^}it+>(Ut8U$rWg$wv*qZb2(z1qj zK-Z1Uf;bwS5p#Xs9PK5eH3aS;{80Htg&n~qxrMTNXw8IrI~4w7Ta&72DPo0rb2+zz zQP_4ej9kfza}U>b)yi?VnoQWb>oe;fU}3NG#YtGi^VqS^-=XqjwaKevj}m90{=$~} z|5SQSn4E2L`CKG7%-|VWuOF&*4mo>1Rrh2BX^DB*WJ0thXVeJo}$Q`l))3K2rZ2q z9msOw9`LOW-9_PA?KRP%vc;PtCG>`c9Ef{FUbJ=RytIZyu}GL95SYD-WvDI3S0^Cp zuV1vrl6qIw&#t_%CgTO^2`~k)SMD`&P}h0! zi?#i`D9?%-g@S7jDql8{^vxqVBH5g$6<iuaHF_jeh!a$sRXJ-UTWl$w)XS4sZLJmDw-6TdGoz_S z`TI3%_!Pf(>6!4z_U*CaX7cjsBUxH4W)LMKoVdLSqh_^ARN8dDW&(ip;?vp9&P$HE zNplxmbsn$4QOYCJK9fThOuA&QH<~)D@;R6?W_;V#{$O45fnyFc)Rv<9d1yp-cz9e( zHr`hZBelb9>&cW(_v&C`B1kb-3i;Uo=q17{gYHJA<6|~fji88?bVGA)o6%is(@;sk zkcE6&q(%_I2;fac>2n2BD`t&RhaW8Wt!W=8dO^kQk+WEe=jl&Tcxrg{&|0PbiY()e zZZP^bgz&MaCENXD=<_-$w?YjKpD>lVsC_}M6M)UIc3Sb`Lu&HS>zAIahu8l%%%Rij zWMXJo)KkzKA`h%s#US*rBZH3&+&URD%C0s1)9q;3>n{2uD;$9HGV?L^2s^53BA7Ie zV3XKj8Bx#YPtW=x^eQN>lFYIq=viXb(;@PlJ;f_^de3ACH(0ka(J&3h(ol{NB6ZRFvze1ZH*|A1Wz|}3CC*mkK>jzhj3TCwOy!IZ3`Oh zplKRczp_l7p0{%d%q#pSxcKSZsg#dR-Wb*wV~r)J+VJ9i&pw$ljDz8kSws)8?{J=D zyEAVrMIZiwCQB77a_G=b=7$(-J;u2GhRnzXCCIx~oI{TGan#JEU?Cc!!5llcHV~_b z%^FSGLnZlXynlDdJ5(EnGe||**seTUKFFJmUt1))CTm)%IV!p|-&xWN--vR^?Gn!I z#Csd(3SBaKsZ;S5%p#lE3HJ1NLo9FIYYo&1xp-ni)!szvFYR0Mcadf+;hopp^H>{Bb>xP_( z%t)~#25un3b*JG}50+ofvdj=*ZTcmL^erRx`o!diVLGBR`lKla5$S-CLW(q#pQ%jG z9AH{m*qHeER-R5e&%7dRS|*yc&!pA)yg@w}ZA(C;ug9p4R=J6hboPLBc>BpPlivXS zG|~x|uQUIcrTCfbid)RaZon#p)_qR75;&#cRn~|>IPa2T2l-kAeb6=zpul{uXr!pC zt=jyE(|%~p|5R*0mc0Y>o0EUSj%RtUlWqU;w5e*dZNvjNYdvJ>s24h69c`Et=ThJ~ zd0J_$f?hO2_;W=P_Sd>=1y5Um&J>!Jtkwqc% zBpD!n*ycKxD-;Xq6DpFX{OK$8VU2KC?|qaZuks?$IIa&Fg6^B#n+(R}nt_O+{alz} z-qI~^lf@I~JaAjZP+hqB#eKoa;!O!j>{Q`1zuF`jOz~q?G!!?X$V~; z{#i%o;?GL0Osp1Rz+O6GQh6)0Z$?x9BA;~fx8-#jpN?thB};$d22HV7rbtOtQY(f9 zfttmztZui_wU@sC%>7Tr%~PMoPQlew7UTJpjLWR7@1YVR?#76K`6p*4UA`F)pB+&c z_s*Cvk%k`4`8M=|gbLRxUaO_ejptklC97#+`gt0YjDNewmdPsNzj-pg7Uir{`dh>% zb)(stpNL>a9+?8A28h9_)P~8P1yKi8laWK9H``6*Izt zccjTEz3*q6S&wpsRo_H&m5H*nhQ=J!sHnzy;)Ri zekbme@7%{*k1VvkyMp3Y{Tp{3Ci7wIKrJ{*ejzeOrV*C>tMxNFAGl*9iDk)jl*S6@ zHqH~%KI-5fu@OI8DT~pAI&D1NHXvtO%jHUQdibJjV5ucNOQ?cOF>+b42V3Kf7zEQB zd1YdKo9&j^VY=`9{PIY8@NBW2OYa+#rL?aD%rK)qBUb%q^xM%+6OTI{>gRL+N##H1dhpwM^{z$?nt&%f1Q5^( zxfa;+0n)VT+nTxZW>-!fE5|`(oJK|;8Tr)O551nphctw_!F}srRH>QH04_>w} z*M?|MJ`nIhnMWPOlU3^GiScKlOj@-{+0L~X{mgBt*aKf1AZ$K0IlmhY^WQadCEwVA zT!p`HoC1N+(_|i;mutrEM8zr;Jxe6GM_-SD0KO=b`)WJK+X;PDj75_w46${Oj+0CG z1u>xoaTW9#Ht;xVUJ0g>Ey_z_wYzC${R=UUW)fr%f8XDU=%A4kq!F9a2uKZ=)Z2~~ zD90fLD1=$voYXw|>n|N1-?k-Nrc@eWV&B=|vyOW;Vq1r;)!MQ zh(~S1GhH(2Ix04x>MMt|-q0fspdxOmt_K$DBQA-B3WRaC^7!h|A3YwfTZY$D!9O7zR_r=Ykhk zRturjU+&xb2^QpCcFj*RIvY`52NJCIF(E1?b{#Qkwrwg+Eu_p$?_h|Ae)!?@6lXr| z!`0^=i>ofv1DXu6o%D{zBAR?=5M;FWA0O=_TLM<94a4x5%r&qkW7h|BF>fAeM6as9 zOj#JstIs4`_|w6QX-Paw8F5=0?i=f)q`0$#KmfkcPg}a99A~DNB9>fLauGhnR*~YY z4ky}#MTP-lA9sh~kYpi2V;67!HL{jtpEct%mAvV8OwOEkSUOGd5WxkL<8GN5hHo%L zS9QVF6?usVUe6Dgu~)jL0KvS$GC6lY>-$pm;9j~P*)_I_RFLzL}ZwFvbnv@<^U&X#-|pQYE$Fz`@W zVqUSrw?GMLI-*-PQLXS|l8ugtKk-=D?w5R4R3suHgIgw;+%Kr7a z;BYW8`~Hh3_hA}Ue}R1V!dU;TH(qoOhZ|gB^Cx-&lc}lqUgl&>#5mC~e#!U#%|r9A zYph@? zB*MqXX?{pBb6W!$h2DVna(t>>7N*|#`*0a326H&M5)Svot(3IUi(FWV2|u!CCi0l%9495R(T1u9x;tXWbVt4qkyW2#wR>yOo4X;AMSVKq@wiGm)pFeWof>P| zj5<=u9vN{s6}8g&n!@iGd7mpVe3fg0yip3UgPeETsEQxD#rze)#`Fb;zP5}0J;0uV z)q=lL<9AdK?T~?2t4gHs?%HS!(1+6IxccXPWS7L29(R91rwS$@C#`EUd%SPlz1O$- zl$346Zqx6B@b_yJ!LRlB(KX1B$>U~L3O)>G`3+Pls?-b#Sn{kgW6iy+Pj}=*SnKmT z837G6#9Tfkh{9dSt9Mcr!HY6P6TFe4GmxI}L+Paq`>bdKpJ_5o`q$Iv$v1#dUq;+h zi&Wb{qfchhEU#wBGuRj9VqDV@8jh@Zhh63UZO)I?=H-;!jArq`q5Pwi#OJJHul{Ho zt~;ZMWDM3}cWk2mHFPlNvZqQu9AqRf&a1o5+JQ?QNkSPSt}><|6*spR{-4WCeUvCP zlA1V_s?rEkHU7#Apx6H~1_$@WNC1HTO7Jx3omx5Gyw)>9vD!5$<0df_3^k+%8u=3b ztA_fcH1cbK7?DtM@-qA{A_#Ryiv;&#+Duz4l$9eTBGqr(QL4|@7v{PLPfqeWVgi8cQOh{B4|`nrQ3Tr( z(mScq5n2fHhel;#V}jX-81zT^;}tdZ9g)FTEYfEi&ed&ortfmN{e)#j;%j}3qpi9Kd zsw_8h%HSQ2@~|Hh)JKypu)GX1m7vgc(%p&rG_kzS%XBh1m4+ER_)yt!#y~Ny!9W{E>5 zJ}HE7gH%F?Y?EeuoWaBZLrG;nFBnT*UHP=LjRtGPq|^Dik(e+{o9U_PS|r3XFM)av zf6EZhKu$|O>D6NRGgQ>0hAic5^)es4J1etxsCnA%JpAb9E7ml8(#1uwg8g^2V0UI- z_P-9L?)<&nZYbNwUlMoJ_Lkc&5kouD;qDBVpuiBO{Dn$p_gCN2LzUihKrP6pFZMdq z*Fu2*bisWQTdE?(YhmT)oM=jj00hMDk)2iDZ4ujLd-rTw!gJU8W0o*~1^e`8VGkkP4Nrf8hw~-y!vv3X zx^vthZNEF7HvJBYzcz85&Ubr}ErUw(>g^7mnW61_r z4ybj*y&73sr7_@%wxsGfxjQ40QosKO(8*4rz8oEhB0t|>vkVo{l{Q>@CD9U9=z}Bp zrmxTPeL3GNI`5_3hsdZ!2)YLfDw)%x%xF9z3=2h1T*tSl6RwTw>k`_x<@kOead97V z)G?#9H}herH=9ukZAn%^oS@hCMYSCILow!qd|2ZC)|b2eab1!-cw;UQ%|upyQeke9 zG&seE4Sskv`l@SjtOt8uj}o70cd!9GmU`KHJ5uDEOWF$4fgO)Ps;TxV-P;_qS&jct z^jetSE|GQb1j{;1HoBzbpKe_Nq7aw^#L=7jIX-%nSVDTX7`Sx{LluU%9y!@Vxs{#i zX6QYKklcTv(dr>mkYzitm|<2*?TfOjqc_n?a_KjdRX6)ZkB`vSx(ms`Nj{zVj{fh> zJRNM*6~EP%Y%XE^eZ29s3x;sb@$5a^65reoz^YIuEA>jk4dwu89Nr~R-+Y^Pf|O98 zK0WE$t&?@Ztp~WTN&IpxJZ0#a>pXqD+`j*OaYOcJvn-|F!(2Y3`Q#)Eg__z$Q^$FU z1FNvOtR3lzY6A~Hgb(8t)-Paszlr8DtD>-4ke7*Ae1J8x#8YG%^vH&n>rR7(%ZxmjbM#`)`z_O4a($wv#nZ!wi4LT}0ko4r z;HoMuNl&ej{4HRW#1JGwgmg5}s^Ie#aT{(sHq90(l+&xN1@-9x8NzsQXzC$qUEc~T z<2!m2;nLry@?b{(BDeX8hec`~@R;W-5MyiJnX_8MEO=q94ciyfJF}*B-OLvIdvCa$ z)K+a=h>_9efQ6k4yD%?A2PPN*Ry1-ym*`0C?>%fjP9Eb8m$1nPP&Otrvl zu6t|Sen_E6UUp%&`gSP2f6=XN*)>D?hEFyNs}g?#u||U&^Fg-u30R8B&|5L?-rMazOn>*bgEXUBB`k#v)CDHu;=Ox6~H1(l zTS4)0W2UoK6Vx7N*x9s3p46UdGeGUpVD&mz$l}X}pRZf;Ax5mn(^UgVNVaEhAtXoW zZoUuIlp4tRFhC+qqb-be3Qg(41C9&7p`8Cexud=fnw(-@!Rb5=7WO5414_I6|FHH}QI!SB79bQ37bu*IySq!_?(XjHPEk;} zySqc-?(XjH?(R_7(EYk+-h9lP^=A4b*E;7nBVxzSoe`0FYiC1$8pdQOFP>qD>}=IO zcT_Li)>RhrKqIwrxyI@W!dAXBs$Ofz)5-*e1S~fs#_~1?82+OvgF@Tq}Iga z_?b{pu}Czh?<@)HCX7xVs@Rzd^le*AAVRye?s&bk)eRM2W~FGzLoWjZpi4pL*1hsN z%Yuw_{YRD8NjT2%vm;1 z33xDK4TfYgjU=&8t8m*RTcksh!tVnqa(gY5aXpa!a&ji??Rm4AOUq-H;v`<7OHU`q zV+n_=Bibd{Th9wZm?aF*Yhae6wSHxXN{vnq6BC%?d`Wu=A0dlFL z^s#K~mbwbOY?69^p*qvsP#L`#yk+UPnA({815b@Y5>gbhjYiP@7_?b=ly~N|8q-A$ z@+-ZJ2Zx~ZiZ(aAT(+NI@R&7%h7L-4Dv<7v$`Ys^OXZC4EaYcyz#ALI`(u%}Vr(q+ zG44*TW4t*YP4>1Xp8NrD5FN+8bYL{mA9VJh?fj0RzqCOdye+(%C!mj6Y-1sYlIwaL z%zUj4#k6c$rMl2#`tvg9q>8628*ku`%$d)}Ua(OnXS8N~YVk-Eauq%~rV2N-ptO$q zhz+EFT*G`N;xmGQ|J90L-&1+3^WudYBO`XlpR!~GzGcNJ#943=(`s&ET8_4$@E_FCH256<}K)GA3HK7!6 zA}&BCrA0?i-Z1%F6Rr&RRUb z`*I%UV!<>q@5NpBUu7S(F?QSyUu7S^)c>cl548V2OymD7`w&(VU^X`_(chc5yfc5D z92=gj&j}@+`&x;iu`@AizvClS(xX!)!V-IaW9NoA2%tEXel4Q!WAyr_hQ@}52D=?7 zFv@)h%1)DhfxthxHAvPVP84wI4DnhqxxYd2L;48E@zRnrll8L9hRwRckPR?Q;l*i2 zY1mMizk}Yi1bmeo-IXFUqiNsGGT$k}qXG8$ch`G&fuy7ms(=4V=$|N8m+Lxu(@B%p zF;uBpDtOzL`l)$aXqcAzW^h#H#`?D82IdCFrk0qXhFF>Fn?oKTz_PP3UV<3ns!ub1 z^p)X%$!``Bc*EeLPPEl}aAZ{`4!<_1&%%ObyE0WkUWt~=E zXEAezeu;?0q$eQz`e83{*09p}BzvWSYt%^WWpt@ZP>G;gG)wYYZz8f6JPJE}Ma<8dG)te)L|>A}Eluk^HhRBmFGhDVq6QnrEhD3> z^Lav}`&n)3mf%%TE%5v9o^}XB#^%?q2(CHm0Y>Y)`SlMXMF#Fp+#hv)r7(jsPw~MS~Ze5j|GRZZjx@lO8j! z<>e43a_hq?^*(?@;TF7(*WVW*UVP>}dlN%9;4`~^foO``pfAq5GHpPbC@!A7oW9Y$ zdN(8L_!c);FdR(RZIa2l-Duh!B?SW>s|`}fF!0bR!4NdbRs*Ph5AW<-@S zWNzX}!boRiZQ$gT7&|7}$B!EHX<-;YSik65qGlf}Lcd&3CoB(62`xz>DD^bwY_4de z=!oP6n883o9SB6+77Asqftyi57|-Brigr5m^6u~fF*i^Xh|=eSCO>o@&pYEtNp)ts zQ@19oIZI9EIXk6kpiO&j>=Vq-=O?3(JE3LeIh7mtMPcG18y%u)8gSIbI*&g`am`f080WkBI{}Q1 z>t$F}=_j?OAt?CYz^l6Ivl$9Qq{ruKWIdX#=#&&I2tmiUKi~CoZ!M_4Qpn+ z1WqU?DB-e0#Ghh3E}5EKDg&GR5)<0Ob~7A@8$wDV%@Q`NACqrDL_FUH@29B}+!;UO>ZsKO3ARvj~{*!iO`Y$_XD(cuF3!w6V38^JD;4iC&QHUrQz?IJo zVWG^KmlIaN!1Kx_!&qy$vcJw8)8Dp)&i6z4{N@{VH`73g=n*DgX>mH7Y&r5if5O-6 z0zJ+xCLEf6=&NyIjGJM31d{^yr8c4t#a;6JIqUPpH1I3d{ZTk*q8N*#?=Y|#HHo^t z#)S>05R>sZxz}c}Zkr_(So(hNyQfu!t2V0=)9;^xH;DiY^N-g35nE%#oWP{I)l>{< zfS4f8;z7zH-=KicX%9{fu#!^>RfI$zZ#arN>ZiwiTeLjs68Yp){W;tn{T4ePJ5&S; zrUiIW{izP#M+*i~UNRTui%n5FX9MXT()2T;nPBWzTPXvo$Jv-Psl&Fwp1DnQTY;L?SZ)TkPy$xUD#h+r`Z+1YVvhZnlw#OrCcn=4e@AWX60txYGieqtCLVo$G zxVXQj$-*dU!RH}0?Th0*uvx-AQ`Qa|V_0>9ewhLBkRm;kvvJ}Qr|l3gJKr(Uv9$gy zY%INC!k#>`7Zrtdopj6|QA=ZTj-IT^WcjNg9+j_$U??n0>{;=F~h#O4QqOGvX|8xrm4CP9W-CD*ro(92;V zet`HSIJgoH9*0VB&9UFD4y@T;%%OH{l-Sx{3c;&4drO^av(-S9}GTJjHlM7Z^`37c6(SG;L5l@P=TP=@n`gI&qaXDYth!!zg9l=Z@DR6$CMgE+1-AHRAqb7D&eq>sI+OMy&QfS5R35BqfNPpQ@03#%G z8_nEW*Tu(n$Kg3>Ue{31`Tg8=;fiI52-=tH+-8$JPFG%^HxJmqLI2XJV&ajVu%yTd zH%^Z*ZI2Zqa}75r_l$L1KQLnl|A@{{73YrD<^V);^6mQW-mti{W`&El{bAB>)JO%N zDnw@FL)~u>2QEf8pOScBk(r~TGX;t_)@p(iMuweQL;ba2SmcVp{cd+9GrTZUHBXEtwE~5eR6&p!NgtnFw31-mbq{E&3j8ZfomqhP;kcH$;F#4lqOaZ@ z*#2!$8@YM@@7{-=x-E)3e<+@#6z?zPPcdHn(x5|O3IujjUpv*i@V(oYr?djLk->H#dLmB>%ErfxMFX)-H zZGNin&81LgNm$iuQXsDv-IWI~k$1TEVu*{anIUi|FQ$hr>?cSJ2m+F|eEwbwK)GKb zVEJj62$YN$4mc5t{R_o3B{H6%>puI(%sJ0c*6xR-vSHSDwlx%1u8D;clvAyhPG|kx zgWdt09!s~sGT-T%s~J^9F?2Xm$i{<0q(dnTPu_w9E=KB4ly}=k5=DckZPYN2&bUDiY?kN`{?MjY5O< zYchr4D;%kC*cIriGRE;ZD?`sK~Np?9M~c#`j3TkK#cg z7EFGnrQ<<+Vs{z^K>kb_(}4F>S0(d}8cUgBn2^UN+UQ10z!Yshi*s2d>N~aHR91A_ zT$|y(9nf8iG0;MuV@j67s$`PJd9dYN*+h2)M=#-3@lo}ZpJfck9vw*E*LdM*L(4PF z3B&qDtRn%g1$fxY0n_5?Ast{alh;@y!3pkQ4!XJ3hcvL;i3(Z+D3NzecI&vT36kt~ zDSI+Y7f@$;oYIp+b)>0j0|qU>L$~bSQ6M8pDwAoP7RLxEEWlTM^`9v>+|iH9v)c#l z*429oJ0u;>oPs{A;*DGWLfpew+6Iqom7MM*7K4empi;7z-uX5Gz+hY~c2oo~D7}N< zG1L7TIJdf61+7&2(HVWqd-|%*G5Q8Jw>tHiV)l0&NK>@O!=gwdC0Fte`VZtXO<^OT z{z5I)|L94SU2I9fzdGr}fP**YC7dWd zz>rD81Sv@*E@+K=W^->eTDW94cD^SFEPWa)TnMR15Wa7tM}08RpVII1>_MX>0ka^E zK_w#YNAs9X9@Z~5n2C3_Qp^#e&=p=(i*7|Gf(OidfxNg~q#fNhbjzwa@@1_RUuT2d zgPy*BLDu9?dj^|w2t>L`WYc`%k<8lz{?nu4y=MjV@OpY@!#Dis#?;vFi!V~uJCoCiN-Tt(x+z?kl=%{STK!cVD|DRE}%|ryfHUNitli z=HWDZ7^f})m6}WJ=PQTQg*PqjPn1(Q(~PRm-lm(yk|IS#V zr<&YAxR;e<43{6@vN(^!wyExe$nX(#kkS;6n-4AKxcDTS2Z$}60`Ha`l5nC^T&4tWDQ)tI z4yP1yV|9M-0!88oqm@yV2sN6Ih34Qq-nZG|C>BL^sY@4Yl9nwh=gxmhP*R-mQjY(x zlttiwDM9_0BBkW)Vkqxu=kD=e1};?A`DaQ}%GsbRtN26kEi7yq(Lmv*PT%i2_7oC& zA?*+Sn#n9eW1F#C*tXrR07~G$l?*pf+K1elk@L)-M_Eo+<2)x*dRsmqDFcGAFhOxg zOA{0DcR34j5so>Xn=U*C-tOslwLw^u!Slupwf5c#E2`!^_m|PKitI`rLmj+ zC_S;0yH7WwOcbR0@m#vjTjH+7gF^l3K4+!8aFF%bW4;%a`sv4jZ2pmgJfRMqFObG*r4r`>$7U{$!g5^5Va7`$%Vdd>rK zA8)a;M=p;WuyvXr0Z$WtrfKG5Q>mVKDQTSdIo3vszE8VVTlZZH-JqJ~c?2 zd9e?HeFSgI&v@hc2FInHkLVT`I>Ssr6THWKr3bB>m_rK;1hl3RQ6sgtxqV9ITKV;% z%u9dSfHU3aj%X;t@YV$iN%1$xe_)-L4JK9TS4KpE{GU$t;{VIQ_=j98Z{X`Ck$ zDb%}$v!Ei4>np}0QD@v|MAiGN7Jmy{I7uCVa9V`B`sAE&GD~!>WrBL`FUg=o zG8$rAm4Vf`4bypKif&no-2nu^sgsUc4yHNY!11!7G-GrKw=GG~>s(Ccb_#v5nPZm;~JE@VmjYY$+AXcwuL8KLpnZVPZLEP zpi0v)$k1s%h1<`K2-lu671M-qWJM7#d;ch|NJ6!tnrlEv6)a7jfR@kJQPdo|_qFq( zW~qM#bu87(4PZ)xSa6)=gDXTm_k>TT;xQ3uOIM1QFdc^%WN)1^#&cAHOPM@GlwRGH z#iU2N?N4nZcGzxR2w!~J0c8pzV$jlUR3QrbEyb+NZXNv=)iGKn%bY; zyK#w8XKpjQ@IYN0U;B+J_H4?`PlwX^Em~+62SwN_;Z1db2Pjt-%!-~ch=fI$AGkFi~wSUgK&rp`vl6Hsnv9{8FjE zvV6wtD>+3OFu*O=R*pEYv9`TTNL)sYZd7}mI zwk^wb=c*w=gE+RoVb!HcXtGsdpwoE%g41P`@;w50(O+N|LD*&lQ~QkPm{%!f40rGt zi3M4A*85Fjz1$$21hzP3>aaPP4j;Y^ZcUQGWus&k2QkKUh_Y`(9PfoVvnLUf^(c6l zRmY*ue-8=rHVaA4qm?^DTzuS7hCSo9`vx;HAwJSQ^>ScO*|u&i4{^;{5^Zk^>%)({ zjz9_Q^hXE{-|tB0IJoP2>-Q-JWxSZl+Z`U=#*PbPq510GvT^a|#66GE`>=5@W4;dY zNtl&#Plk0W`X3PP8jlBN9J-`6rr9Qv}) zdepi9hSvsMyTO0{9)^9!(a_yY>i!UcXL@ZnZq4st4RB*^4}P*JYpoH#GCFT=C2y?#`**%^^tvMIB^g!Y>X z6UKy98QCawUKEQak69WP1$`s-mve|LKx|x;^QMR#U=;K-?d8K@Qn^zwCF6d&c5nd40*WQ^5iSR`4KvE&6?H`x>P5-v2d`KC|Kqzb2$E%9$r zA~to0`Pg}IZr8@e@?YeS@vjrDg=I$~elnQiPN1#|v9$Es1%_`0Ij}65M;H8!H5?kJ647YSMQM_c;~CQKdDntpUbi3gUFI&J%LGlQCq*fdQYx zHNXjOjNAj&g^SmTuJnvcvEydQ)&(cfNe8EH1Gzj4sNQ6$hG=ZXQ9P=W@VAeI?dJhE z1TlIhL%p8hh_LJN^0q?{fdGClCJ^VgvY;UhAi6JPMaGfxSqavhwFhM!JpD=&-7~NZ4 zzOVy^NRRJeLUo>MuLNu(jB@0qObfBEuRI5Tuf|9ulP=Eve_^MQZDbR@_mDlr^&oOJ z#`kb4jwLP?YTzf8BlB~?mbkC*i|eHhv3bXV-72)O8Q-E?TT$Ly_oJ9>&Oh>@#X)7A znl%)Dm^}%-I6)P>1->hMDDq{|JaidSUtuY{SSh~P!~2ZQUYgrW!DrD@*gDj^FN?M- z944-Ao{F7)Kxq{{LQ6!#nAnC&m%bGT+)Tbh+vq?Q1?UX5p&OZ%n%A_{g4_hhjNuF5 z`JlqRu+i*9_wCDV3wr8F-%-CPVeU_DgMQH9?M>~7eEe{|#<<4w33d2jN}tp-VScf) zru4}Se8w(M?;26PX<8O}!*!+fN!FRzGFrNO(%{<~S-eAB7J3tU{Pr2rG011CcO!?2 z|EZih%%>FgHOj~OBakWiN|6gZq1v9(VMmqjJDy3yHI*84r8aedWB4_tTR4tM-LOhy zIOFJ;Vfk$=X7M?)w+f1fWhZ9UDUlv68pP=jMg^PiftGbC1%v$tr!Twq4~h-}o{1ca z9RkOvnv5D71VyFk11t}ej5@zWa4IfQMNW(J`VY{VwOb-SXIEa!v`2EsThYA zNYGaye*Ko@OgwcKNX|#RiKU5T`I{md#`BjwQu*gS%!g3n2l)J+k{2ZV*^sK2Y?*B% z9`>~!mPdlt_rXsRnUyGy=iZ`=UiI_dC03Q#D5w9(*H-LhTMvYRoz{y`EfY z!ZfiBm`PcX9jHgkh|*@kV>Uw5~Q7F z9_zfut7v*odg?&7P=l|$Z;Q(jtI9QO2153$FHuBnQze;~^)dX#R?y^-qGsJ$N%5F} zrM4)7Mhf@zT4oqZ7|n4YbQp!4kU&{5iP0BXrWuM%X%deU(ElBr2qK;Ow#{N%tL)phR{9+p}1Aq z_yGan(9MItkp_u&pld?4@QFg8*VG3?=lm-R8)#^*!Zs2S9XT!HSqR1ESO^QAQt>RX zrD^7xWoFxCu3q1?{+^|4;8L%s8exAfdobTkUUhgddp>p9bwcC-KNaMA?EC9?eVrIL z@La}~`K1cuT2aKgLyfnM-I|+pCi^s3L!ypV{nbwwXtbJ8l^M(ViX*Lj3rzdLc!ZAb z|McYqv!lNO;V?v_te7bOCyWM2JZ zvzUKn_JpVtb6f6T%3rPMbGTD2Z_qE6N?5Cha}}`;On*nWm4+l6it|lyofZ~ zap~9)hx~KY^}YtLO$&PtXxRsGL>!gKu&bNpO3l^V9lz2Id<;(ZMX@NA){+Qns6_Ht zpSlmU&;ZUvs4G&OYeoqoUdR2|u_O%qVL5nDI8hW*3S$vGn3hl&EvDVdRaA@v(LifAhRwP;O0Ve zmNy<7E)AmtrxeraiDGt}@olLJVrZ5cfSH~tnWrs{lc{8eVV;)C;@FR_jQnb3N#7Y% zWapmk>uViw2<<-Ao-r7Sq$s(^|ag zZ$oauk5*G!ST9qo5(S)+yN-1e%;WN-z8Z_DWF76#8i!;>r5F+4seUmR3(OO zQa~!#W@Sl{OeqCPyM9vvPAc2p3D`j(m#WY7FL5J9cd@{&)^dIxSW3yAm3OK}ed

4QMl+9g`#NZ_l2C{M0%q=_nL#WI#<_SS(iOCyS9#vX_*-ptcIJ#p|57lt|?mdW%03@#!=?T4=vFTw** zh7<$)K^nI zzf$LgivVWAZpbr!fm$XV<Op}Uv*YVMtBMt8Y*#&g%eqIZ;9MGoWnf_#B8CBbPMXB6npIUKtQ z?^vLv8MRjXdjBnU@A15un0s-=0qo_GcRs!_lcg<$D#Rz&%DfrY$7YU|#mxSgO4Bm> zV7L+JwatVux&8b@7GW{yXqv}A3GkN*b6B6D=>w@{GfA(DDAGHjb4^74O{9E>eh1PU zX6z}^=|r{Fz)Lcmc<(f&kVV3Q{K>vH}CU(#GF{Z5TQ51 z6VXg7RK#t8&@qEhw)lkZHouQQ-N&!h&m$7tbG!%Mg!{(SCjC7{w)v{$^*3kVnPN#_VmN(R# zUGijA4E@9LpoN!Q6jr6N5uYF$Ij8I5^sHU31n!^y;$772|SbIU5oNlO_F2|Zv=m5NGeUb zT~+j&+ys0`5`z4PA0O?}nR-c;?nw5|k(9Wi$dD8%ZuCC=^%!YpWb(H&v^TU%3S{dT zL*)VIG^qnX^m?1rSVQ7yDqf3TGMHICOTWVx6CRaFD~yZB8`;`*lk#)woL{-~5RgB5 z`4zj3M`i7hgZ9~3#QByxe+Ush!DkSb0{5=Zc;@u%R1#(p9oCgZ$zN9w(sA=q5wUGv zvfW%f_o3tc>FYDAE`^#8_D&zN6%F_l9r*mG3{Fhi$G8Or0`iXXKM@JO|0R)-wlH)w zaP*M2Gcf+Q$d?!`Al1kJJ#ec(ZMl9yw?Ca6F>IPAoIDmiK|V0=$)|H(rol<5p{DU(z86&p>+&gEFE%u~}=e6cFGWdWIio@2+VbE23{xHdZGl$oq>{ z1*N`@S18-Qy%4WFS>TntA}>-$E){pkKhUa0nb`()=lIGge)2a%dyVQ%L+obRal0JH zrxvD#n)|FVD@>f9w!Bv*JT#w#B3zJn}7H^UEw(>gPXoRDIBfw2QBOGx@q? z_(l}0;ElM z$A!bf;MDdRME@TOVs5J6qdYt@Nlroi2aQ#chv%eB20a{(RbWyX-j1 zy5%|A#K?Ym=-~m8FOp&T%}jwHrkYSy{v@V0&?|G$SyYt6r@Dy)2R>$|sy2~XkX7b2 zk=qLC(+@I2;8u7gtk<5aGu5rmVY9i<$vTHwO$w+lnIog;2=fJos0Mwj;Vi>!PKF|y zQI{FV=vhpCTss}no9afh(M2zw?r}fuhY}VxRQP!aWJ^H=tnCS zW%87A;qow#(`J34(~(TNZVP5H6DdyEpjYm)pkDQgouC{f&*{5N&-JRP4~42Ic-l7; zYT^$jNY#go5~U*<%>eqTR4YL{$gJ6tnZp=M*1S#{)XJ*pXH~fnku-)f=_+hxjpgC$<|dr37@8o zJ4+I*6s1k)-VY}XOznhL)q3n(5ApHPm)Wf;^6)q{QqfGo5rQz4yh?%P4$Ca3` z7bl4@au~u&H~(X)zw*pLj}t`*mNsv={GeQLiu?BtJAFQ1LClfG98*#>43%=Hw^N(d zN~i{s&ovkYM-Ky_UyK3_$qj@94pR;jVKDru<_F8X9MsZZc0-}PUUd`Gw1)=dw2hzx z{AgO!Ed_uJvcW*eoFL<3=<8MU@hx)!(((SNHzXV*Z@(ocg=PgkB5%Dt4itH3#EbGw zG~ILoT`cQv3_$sv*t$Ht6MRY^K^2@LIu86@44RBGD!?FEh}%keM-b)EDBr%GCLnEp zu!g=~A1UYBto)kV^_94$fuo>O_fmU5h}6Cubf`d1cR|A*2PfLtXs7hr(#JR~uJ=-? zjli%MKSm<5lPj-r+>eW&c{eIfAe0Wa9}9sYk(7*P=*iF5q#hF<9aSI+hT6f^gjxu+ zn8RFv5sjD+FkkZb`t%PBMXdg}%21jzL`WHa8)TE2YEbgLUbk~|rm%WG!*7xeYX<3V zeoOEZ)suelAqRimNfnfx!ywLflxYOxj}UiQB)u{4FL(!$0_SKs{GUw+dtEbOu;q0W z8{pg6cdQd!s@PS|=Ko-3SoL@?Kmz6b)9lV7u<3qBLTY0s<19SXv$}i~VXh*;(dA*Z zvaa;*mua!`?UvoeuR;oboE{FEd<=VS)#fnG?r`bZ=h7qRm=#ZlQCVc~VLD#w#m79} zN7?&*t0bw6%x!2{?NyOqZ5a8M{L8HZ!uIvj6Yj5ok9NMg5Xgm$#e%>yo3f|G9C_r5 zU>M_IfEI8(?fUa-C}1si$hFC2J{iW1;O7kEiV(FD*@!9=q??94J7ubf668OU09_yBSoTg0{CE25N_l1G4bXbci(xK!xX;2-Q!^Ua)59 z5w}b(&-7NCh8%2{wWvJHDPKwadID@mY4?zGr`-jR7Ino59`y4-zFlkqA9IKjQq5^e zFuZ|EC7mj4JYN@EcRvzay|9YXjQH>1C|QCsXO^ZUt5Xce3kSn07uhy%F77Le~lI-8MgeRX`jJAg5q#U&+wtez8SwCOpo>kD!->fRCQzSZu8MR zlRH#@e=XHg!Oh}Ao0%w(fA=f$)Ennx^^L>9K)x1}$m^^o0HaZSo%*gTa)Gq!FCpgT z3Ounv<>aULGU`#A&-K*~73sAqIsXaQS;(ZApGGo%j`T!@>s!QK<8J=oBZ@}N^NfFc z)umaj=i!)u8PE7lZ&^o!KszX>fcLj$a{x@ZMA44B97#KqnFka;m)VM>k4`x?{;46P z6L37DEY^RJ<}irHZ_a!65wnz~&OQ_RA#wH2nHg-6Fj<2gZgfXJ{(#|F>cJ$+5gd0W zM?rKNUViNdT#x{I=jbi%qCa8(0+!kWN{FD1uHXS)_(Rcc1V3sI8Uh!NhE;9np9CeZ zTzQVeioU|ImyU-UNyngad{5rRF8@C6?E(~pD;RaNTF~W}QT<_K|6$TSeGANBO>1cE zu=2*X^ea1SqDBIcv))3yKO|AsaC7)Fs>WcFo|8bItQ%W=^hQs8RtT%a=ds9|u;%rfq5=In2r0`vWt=Ki3s z(mNe26+2Fu!Il+uRfFn2AnKc`PhZ=7^lILvY_lUg8$QM-h}wRHFdQOjsO zM*V768kGc@nHEFFK1QsKDe^&lgPB-9NI`gJ`a(4Xq!4O)JWhA}p*+oW2v=PUZLP*d z!t}VJ*ePl>v-*XA<~fpWV+yX2)Gr!;`@f3ClKstFn!i6UXpsxBT-yhdn1n|+ydEA{ z;U^gTYjc4+*QE&IN9u~TTT;tRn(bVcGYg)CZ)U@F2i79%p#3|a%Ugv;I$`|3d-NkP zi~@AL$1r6WmP`G${bFq!ktj2{hff_`zV|!E zafM2lfOCDe)`->R5($y(g@u=V1^NM1*J0~DTVnL47_Q9;LhHHL?pxEft^k44PrdQj zudzSC)Xpx_vd2HiGk7XN`O}l}M#vdR+;ZTQXR0Mg@e|^Ju+s~=iV)2$4#(k=Ct|I4 zC%=b%^l4RL8CRg@LS7zG6)gN5>`n8 zJ@$@L`yg~S?p$Cgp|2IKD=zTsJp`!)nm2g#w%G9|r10{>RH9i!b}ehT78JGqEll1S zK1xgycE_>-TjJyVg|AC6zF4c8W!$g~9wqj+a2wX;jpkD*UA*v(dOS}N?yL{%@>vu^ zKS~(u{ZG(NAi;0j8usOMDg}E(_r$Kz;-u!IX=giN?NM{g+x;4PM1TU>&!tJ0mHpIN~H?(I!w)NcVIf@gcL^jjxQ2mGV3uY0H_H*|shEv5o632Ey&s>kuA>DciaM(l1k z0ROhRZev~Q^WEz_ZyILKAYzl7o?v2gxtTSH(~itPWM; zds$I(UL0;r$KU;!udV;V7KHo*UkGN?Um&}ZKOBWKr5yu-mKQVrX>dT=HNEHc)*F)E z{#%2w?E3CIrzVH*_fjzHuwW`FdB|swaKwGgi2ah5c;RBQ)w>|q@%nuK!(bvsvAF|M zZp;VK^u&1GO&mbw0~OVSu=}3@xRGPy5^b&CQ{!txUCV3aT~W)~ja`!j``DRoE+!H4ACxz>r^U0B_{lxg&+h)w z;dXF^3z}ZvvO|PmUY7c~4jpheXBeXDpGkOu4-gIrj5%DLCNY5MT`cA17_JP<8;kvc z2uQtpEQ|Cvio_3?L>Gu0Xe9AN*s~lUAU(gC%QF4XCgUt*_E`5?&$e=~QKLcVSxwJOz>^#!Kb4r|2$`PZ ziULu5ny(eQrLA&FS2K6)L@a@1ycGNln-3jLm>OJr@s~G_ZnSCAOJd|B;EG*|AZfD& zV84^;g)zw|#gQ_!M9YJPhK(?y9v9hWW}uP4t_<~f;X8L!0Knb(dp^MfyT z`YqPEX>iwylgJiVK*m^cJm^8`&!Mq(ACkBgYNF!!s9eLd#hY`W)AWcURol=srBbWo z{F`(?&^A6hFhK2PiR+DHX!l65buohNIVfI$_2mUNMkL*z(ORg_O}kG!kZU}M0dnra zDu~<;smElR)v+;SFnzTBTG*GHu}+tZl5aB!c<*kb_@3G8jeh>@fY=1vBOP%;5pyw;M(6KD*`{ znK!d61{8~jO@w@^urgb!sZ;oX-NDy8PAZwZ6VpayMwug844(3rD^mPf(r)=YKdLrR z+k#!ly5KNOFkgx)(7S?nmm<{NgM1=+g#3xkd02kME$pNnwgyS`pe1x$n@i6KAQc8w z76b}|y{ESuFw~zu#SRp`Vb(hm3ErU}LrN&E(qamv`N^~Ooi+H#*>`Y<5wLBN86{Dm32#CPBxWY8}jO>1OA6QE< z_Q`qNpMd&QZw~I`x_U4_TfJ35`tVGY83Yb&ZHI8n2#dxxuqJfN_$0fg!+*G9*>^8N z%H%%+-)KK72eydKglmgkgGYc7MQMn%A4m(w>da%&=kMTZVI0a6d=1ZL0qv{@o-!YZ z#_fuaQ?wrtpBM;=xv9-sCJd&>zL-lY?OD=)Q!}Rb{N&@SY!fx{{e2?!i8+%KJH=n5 ze`aq?bQ$(peBaV|`x&*(ULn=#hbUYIMycpH=+p4*O_byx#kpH16-6WYK|@&3SmQ(QwWV}iDt~Xd&be) z&pgT#s@ALKe8>jtBa~La=wld6ro(0)9P~z-rWUWQ9s`Hbi$YO(%kaD%M6R zWK`G{$s%q2YIWHy^O{*cx96#imu>wzqcX=z&q%56_=6ZNr$1JDcjA zi4aUNZwIw}*@-FIazqbwBGFNyWb(01Li_s7%k!9gVEY`o8LY{u;`xs?*FA71V4sR} zI2ueJ5;~_7_VecneoGQgntwua7VZ8`p$}=6exVh@L1O>CToozz_HdWb4>VN70dO1D zj&1CUD{C<-NQiWfav|3Ps1p5aTsqtZQH6fj;a!rf)*{EU{?Vftg3F< z_@{A3e_jp(YItNyxb|Gtrt2;r1i~dHEkh!^pF@b|*f1zOo9e~is!sd}|R8vv4sjsimj{y@S;iM4$r(?J^ZP z3PI9+7}>ygzZP{>Qdz;3q$NH<(U)19l&HVN9GT6NOl{L;N@tGn56xno7Q6$MXLw~=^T3JnFVRyxZz|^R z@dZTi#OpY969B}3*~e+25{n}|9Yir%Z&;9@s7G46-y8^AA-EUHkemx*!@}kL`UjQ~ zlayya>YJ6dZ9~Rpl`YtM9i+4gzsp$t9{^%Noxc%^*$9?SFT|+P3^@uLPz!J9+PRXEfdRov=St3KU#MLy_Q7O;n7PpH#EpZpw5@UtEeQ%1bNFd(AODJcF zxYrW*(eWt+SM}=f6r}+A(P*|?Vh06l-7wIe9gg^&UU3#$$i^8x4=@*Op`cnqt2zyD zTmrK?48!MO{M45{*4@a7t{_PT4p2O7i9Hm^n+OGBzCZ~5FnT}t!bw$?Qzy-zMpI+r zQA<1~5FPilPavr7(!tHB-vMsYhK-`N#1sgxz0TH3e1zV*Bw=NC(99?vsB;r23r~}U zcqXZGiuSrdQ~8oWlTG_ThT?YdXG=Uwh=LZfhB7&7}a%bgM?oauNdOxcw+Q`EiLh?cn#ObNpIGu3>4a>PF*Bxk@#Q5n}&EJz7gI< z8%w+;-gYtwX^S#2k*iOdS%c+>4O96aRSVELt?X5&hKQkWy2S^4 zmLWduH2ID_(Ln>sMR7V(mgh^ON6oPGkpDVbQrm>|5zbTsNr;fGG{ryBesqG%6{h$C zMQGaM`ST`CnNQH@-`IL6Mo8i-OMET9!GSf={@2(pa+1G&pu#Dl-Lkmuxe~gFwox6r zNgS3TS^L2fKRU)|bv&0~2!#3L4rZfal5_04c^OLB8njS9iC@WeAtY%$xbsX0)3{@| z${h;HEXk#?k2X;q;gK$m1W^^e!RNq6Q|bhzNrqHja5;GkTp4L1?p~m_J-o57SFsOE z-3TtGpb1cC?xbb${xUNOsC}zZM8b_t;Se_IYQfYfyIF9PI{GMcEXajCqLgRJ?lND& zINJP5Zos6IR9;{9v}7-WOlI6pp=s#2JJKmx89xeNr63bp@wr`X?jOt=IF#&jbQJN* z0_-E@%#<76ll?8}rLnr|n<*5;VAzxxrF5alWhtW@I>3~JP(KG0qHf4TEjdJDAR<;! zmf*jgr*eu6S(LUrv>+5kb%;{Th6sF>y*nfeVVx;U(GJq?XE2^h$&lr8xFIosIsAWS zh$VZ&JU2|2BP}_KAg?6yv;S-$QfQ3{NwPZ|U=#?EMdJ*+aP#~l}R+2-P*lku@a!tIAz5Y%cQ?5fK>f~C> z%F3wm$(B5Ymfn?UY{*j`?!||t4ii@*o^{Lh@^s3mPd4S5l=DXxH5l@&j-09TEhEls zkmt|@&P{~>34%zA+yL^F8lb?py4CYb{^Dl(rKdRs$2_d|JeVP z3QC5rzJ6vr&1m66%7&*a@x7EOF{-q@-o%D)g(+{K-2nWt5eR)$RYAgklO=DKTjAf2{;?KXQ5J=8SgtZ5&8CXUXO zkK(c=yD=rkl!oI%O}US79_13FrhE$fRp0ZO5<@+A@>4);6INflnDSW)eeG}k%2XU+ z%IDM<3LU=$#OPeUV96KBvSr&F)|D$l7>HAh>}IdYmo51UF)2R~opvI2LSH=6UbTJb z&5*Ahq+>YTEnih9f#e&Oe3MT4WZEZvCWY!3`RL;qCf~N?I|S1DH6QR8e`RA+(3J0? zG^I_mEJ`Q9kb566cHVRh(3bh5F>fTitT`GB(ecFaa_^ibf9R+xXJ;KtLf%F8Cs-x! z)qZcpUl(q~zUsa8fhd9uO7_tLjhH$l>P3V>6zl`&^r=CadwxS8>Ww-lOIHViL9cK5 za;)|G=+^F|#Jj9HMtf|{p<-HWpl!fo-E=``S`Ob!4QfHV$G2djxTk96s5Wy`bT(c!_8hK?4=WUD=?6tu`fdm z>TXhBD{2bJ;jPHGMB-_aot;SGmd(yDa8gR)TXv*QK7__ELMD-CM77 z-Zolh%8wWn*xzJyJ^CXI`AOQRAvS6@<)^49wIua_NBCVGHYEnKdDY<(@6>Q;NX$Da zy2?9g1^Ps{{6hZQkpDtwljgtXs9=KzZOJd?SL8|?!mI7lsR17%C1oPpn;_^+T)}%1-Lk-CY-N3$`C8k!4y-|$hi0-D^v#y=_S)*%Qe^ka`-xa00)U= zSem6}s!x^BwlF9@KpMHrQ^s012J5>}<`Qq3zM^hm$h?|PJ)`!8>fI5)9VRQKS?you zU50T39ZB&H#c5Nd~iM}0*-{~kBv($MJyg_$K{j24}{v7~> zLF&Z+3mj7^1#O5Wub~55ajLW%trb~vQ)=M|DlE0wV=DRJ6pp0AVHUe2wQv*_j|`TMPG{Jor}H`$1A7rSk=|#T;0w!11xpTge-OK=3KKOM_qFv z53jwUJC>tqQe{2xxmU`w2cP?-Jom+a{h&YIyXot6bv*9Lv2!hfQgtnJ=#@L~4Rh*;JI^E3)udy)!z@asxYH zRSOI(%58K?!&G{LD$TT;MDbxejN1g`k=Sl%uFYND25XC2;pA304N1pK&&1O0uma0- z&%$5ZVO63@JDk%3R#kB;oR0&XvJFRn1KbR^!UZ_`i*fwRa1DT82a;b8CVvVn{%6SM z&qH_qHS{w3g4gT^g{Buqm;+#pIS`I82f+;UP?&8Ffdys}EHR5=saXbovm8z`hrtcz z7`W9O3%8kv!<}XY+{3SeOR%3F*k2)>1(zyL--f)r3@*pfF#1Z`<|!<~HVm(xqBgjq zNbH2GisVkXhVC{Ov7K<;HYFGrD^bD)6g4>Po500yfh>MI2jEZ_MgxQcSy=mAH%t0;FjR#g@6gMP^Shi`zMMZ4jV+Tu2N3~O5u z&tvi9s=LMUWqgtr7klWmzE9f~I};W7uxlUmkJtanuHV%P&nBC+!Slqut7yrOLng0> zCmh~IP_;lT23^#GC6+*UaV+!}$H4&c2N)`RP$=qQr0_$9SPn;u6)4UtVU}10^F$C9 zi!dA~PJnt5fv||edeIE0iWA{Xu@24?C&3nRGVB(oz@uV4yd%zl55xxen>b6YiC3AG zPn|WX$2ELOsr#w8BA3C-N*G>A2*VC+P3tr#xhAjLQ@;uo0x#EWLhV7(xMnxJUW?Ox zqpCRf?N)fV*l2~1kh`C@Kt49xya}?f_V0N6c`N)YDMF*sT7ftZ*>FB&iH(piE`q+| zVmL%x3Ps{77$&ZU!^AZ(UTlIXVlx~iZh&gBB_Yi?G-oPBXy5(~U#bawm6*UJ^$gIB zdftapqXzJGasXMx4{hU%?#8Cmbrsv<*{sRwu9wvXSq+ZTeh>TR@Qxyy7bx%{dU6> zwKlariVhYRAcZ#O-J8b_$zubtsGSX-twvGc=tUkY#KtA9tT>?+yIEOnNgEqhUE0b< zR!9$q++!*gY#J3N4ccSks4HBmw|3i> zS88|kRJn*c^|-Lt3b)5i{bqPFs9#HwG86-RnH!(yPtbD7(Csg|CBd+iA_Bcz-Qq(a7@HY8pug%4<7OHfnLru&9ll z^!uluE(_~BPQU1}7SM|x+q(_rv^zhCpO2DxKEIHzj(op^G7RD~RQkW8l6;P~@82*; ze2L2bZx|)Mf+G;o%@9AJqW=U7#LsZN_yyKV24_nS7fJ!QOAYRmI_#7NJS5%lsLX&D zWfpuUyTLay8-A2IEMKCS%I@q?na@gP4>n4A*pad~n=bpXWwL;+lKt4(auC}r$FQw( zENhjAvxj5_dqqxU@5rg_6FH52A!o23WF^<+Or9;Pc@H^<50rCx30}+PJbr|%;nU=N z?vo4oO1X%iB|hgH0F>*L|ePy*9xfR^et98H{wdOqqdnrM|wxYJu#EOeM}HY0LQ@ zYaiUfDl%C`7OUu{UNh)5)G2x`%acW~b)(m^o!7GIwQl>M(Bo=nH&MG=T0kzMG2On1 zdb1x*dTfDNp3Hr!FLsNn^H{Cy&K4NukSif_kGH^}4%X`4PS$FJUeCLqNd=uJT`16|fZo~(!dcpV@c;BXm$ z$+8({$`helu7(=91{TY;&>+{rsq$plC{KZF<$AbNo(7M|)8TP>20SUxglFX0@QOSK z-je6SC-OY_R9*mI$P3|Xc?tYSUJ5_S%ivdeIb-rFX348rp1g(?$W5%j+{^~cYgv)J zk(J9WsCrx3czF++zWdk|xsA<|XfNagY=LZNOXM!LR6fk=}2^Q zJ3~Iju9vIX?ebZrQh&twFJTFj;? zrIFCU4r6V2r@^VLpQBtGS+-In1FmIUDW(Z`!Z)m)lnWk*cBP_jcoLPAR5SxuV!KjN z3!zhnLd}`*6RcNCmPq6=1#$95-iq>_6tULGbi+fz)yc%r!P2o%f>ob@fxA98&EFagpu+s7%Sg~iFiFqegIYS!xUUNn?0g}u5#$bu3?X=uq#)wlmn-T z+!=fvzfVMSfMT7aVw(Hic~@E<-#J zBKCv)0u1>tFy+6&l3zl1`4!~L@1RtE4H69 zeS={HMwHuO(mt^8HwF`%(b2MRahBh=u^;yCWm3?AQ_AXxyZwOt(A9IrnAUHR4Ncl z?1K!nL^O6636^RM3%<~Qv}P0Y-js-@gj;`M-{d4a{M_*0(RT5}87k=` zYMgES2r8MvVDtXJPOiSq066L7EGSv6kv14MX@#&wD}p<;Vt7a^fycE{ctIoL zL1#zJU_+4>>3%B-Q5!#|syL4ytI{PWJFx;kKIQ%o_=g5QV<7hK!@hZqIXboU$0qCWzM2T1h#aa-? zXpLwyLa<0X0hVhK2x?I{S6dC2YHMJ#wib43>r*UXYr>w~%R@YjTp9zX@+O7dIDNS2 zWHA1$>=wWmWF;`>ucA*@^Y{rB64@7R<;@fNUJG+KaM71i4_jbbao<+HI=lQv7+0KK zj`t@`Ea-bHloj_aK(Lfumc6uQW({^{LQ2TCrM+Gw`=#ooG=M zXZs{LyMe5MW^>BHbo4fcSQv4|*ApASY3=;%F5W-SwkGlS$*`Q3j8WH9Qc&A~+&%}~ z+PPq9=RuBkK6tbXpg_A2yxPSuRJ#O5XqTdWxD1ZaE{AE_6;P#J2{qbPutd8WeA+e8 zfaNQ-n@~S*hPB!jI90m^_3~D@M7s_3@ebIg-3jg5U9d;H8=ld&!t>fa@Tq18)-&uC zNUYc=`~rox-S82=P-&0_AMlL|5ahty{2~PiqHq$w7*|$OZ zro?2FRps$Z+xg{HEs!~TH{XOoE5CMcaZxM3p_Okz@C#~z_ne-;s+rUQFRY(5A@geB76S`Beh4-+&u=9w8vqV_5{q+_91JZf_f|qY0spv zDa!A6^1&zYZJcmZCiZk0-+?9lVLrc=6M`BCWBE>GiNKzRDMKS+7I=88GC7<+`|e~w zB-ThQxiCHb5YGuzwX?y94_NW+5>b)}?W@Twlv3Eu+thm{X$ga3+aToeT_mc!E!{q} zbs2+Gac8rjGECa5plh!|mi7kp(cVPid<#mow_&XI4ouYEMXtSv9D5g5Xdgh6_90xL zeXKZFWY5bHus(2t!io${MNlD04yCYEp-X|hnZze|f*mL0J+2`85r_XQ>UBA4^6Zk5 zJia@g3Q&wdlU7P0k4lCR)Qe9Ig^7v^^7zAXkM7-DTA`sN?L`Ws^?dyXuu4U~-Z|vr zk2oQh;dDVU4+dF^-6Iov{ut@GOz3%{{0S;I6XiCjW)?BUpS^D*2 zgOEQUY5zS@MFbTBxx>aYGywIyCXR1@sjbL(w8-NkWYot0 z>B&FI z6{TP+yN|ApWZnzKD4E})UVjI@weMkw_5+k_Kcb2J1?FhK!eVVd9EX-@xsFgk7jS{D z!KJzmcj^W_qPyW$Jp(D%>~_4~uNSc=^kVjmUdCS0%h}ud2==jl82elw!@kzX zvLEycr4d_I3gJgbBk)c^3kDYZLO~D?z1e%h&VvqNFA0YFB4G}@8v!`QV2jxnA<&lS z2yRXhQh}BWSXgK(278}*gf0xUOP{k$VWM`qF`~Li0htVz4b8$O+}PJ4aJIGM9p6)#)ow zl;iJwOA#8LBvjhN)h0%^z%WIsC_!pW$6=z|tH`8$$DN+cz3NH)mjL-bitrq6-l`dk>J&x4724NTML!(4qK)aXlKv3?93uOAC*^y5*k zm%yy4$xPl zjIU*d`Z`vE*Wvm}N=DbwJ}Ac7aTy(`?B)8@SsZ*em0co=cf3vVR4SYFg1Hd zy@+|D2C3#lrosp4$LM=mX9iC@d(X3Xi}^T%g*1c3ZK9TR#j(lWR&lJ2L`i7GQdAXjPWM*Sm)oEMuaouLak?!~so#OqZGofp zyVR5?s`aQzOu0s!Y0Ea=ZLotBI9kaf$~H_DXNj{(wo!ie3ra9^`jWE~8@^HDHo$zu zt$E_yg0n+owH)#3Lnemu%EO}x6zA2BHuz0|gBeod(M7(|DmLcJeB%$JWx);m-!00Q zXZO@rwu?*fg_ix#6=h?<_k6zG+F{;1LFny}q3?oR{XyudKa3K)2W`e5kq3|9{1MOU zkHHLmH_X!a;k=(x^PXpqFEQ`=YGzE8(ag9iv*#_416L{JngNyKYHHrAurTQqoILM>{^v#1jTzD>|umC&I9aS!&74R(q9IV{5d zwh>@Cza$xYO(!3$KM%V80?N!Pm{ohcf61XNm{Vhtdg<_2Nc>tA^_47psyomy8=Gqjd!Rd*t$e zkjp=zo&6c@>@Uzy{}s8sABGzY#uyyt7#b`w4ETd#!Wtt3&NM8z9^17TIVl{zBf;T2 z91hc6H^t$6xLnDmK%2i&@meCUql(uW@;WGVR2@j7vTAGkc4ifd^jQM^M8LZa`HxKf>tb(+{JMiU}x zfl@Kr)dGDzdcJFfN6R-yw2Jo;{BAH<3uJ9@v3z>t=KUXRFj>Bdgm2#eLcW35n?RFW z#a5ih0OUeBpX2a-A)P!j3cxV>fo1fEe8UU9je#)H7z9)CI^7ryM;nL2u|^RrGm4?n zD1oq14iRG*@_#t2H%7ok#z?r-7zJ10^Nm=3moYY(XiKDc@J@W>tVOHhHdlA{S{QJj z_*hxb>ChxT5r4zAScW#{Q@qn5g0}TDWks6dFcl}8a1sm>f5$r)>cajmbm1kWK>dH8 zq6_~(5p`_KUk}!{C?lbC;0ClUWJn&S+K1KAqlfD$Ak^*Mrv!!1;}r75zbN}-9|cHm zG}wr^&E9`AluM+cJVj2?j5|fObw*y%Rpc=}E{-md_QBBixzH7E=hCASS}firz7$Cd zHYllD$D?@xV-o1bWaws0gYL$3=xH1U1B@9^Xv~CiV-_4`RKq-DE~?hiupFZ+l2-=Lr@U&42FBwbVb>kR#+c*|JM>^jbOH-Em`@~XzpHRE+9kuHYA3BC@ z0Q|`@Y=!W+QZ@}%!5QLPrFM553JIa(=+My%DNcM(`U2CN8qo_kq zNH0&iT4jbhfg-c8L}s_j+@e<5BjunC9X{IZ9CSK=n@VsV0)tT3=sFpJ*D6HtmnHz% zJY)(O%OS^D0fUSN7;FS^K~};fV--v`f-uEs#DxjLQX`D^E`p2FjCyw>tTR@l-mQT% zjJ0r{u?}_bB)H5t8Ll$crvSC9oy8ao1#p=plstv*)TYg4>i7}exnytI$HAXpI(uZN zDn;l<8YBDCc7*Jw1Us>7CJ%AWGB zxDgf@H$l+24VsMGkvVrFbM8*bF`p_AQ>i$*8!btCCc^|dR)x=Q`g5?(^fxFl15if8 z#Faf9$61Bf3JlG<7uz`yjMxe=mc+7>C-;9*oR*{QN3O@X7tQE>xTN=^o^1oKu^pH7 zeq7cEQbtrJC(0v$P8$u7N2;uM4iv~qSVsGP&!J%0Bbw{%`*lb8x^5pj5jnZGsJKl| zRl9jBmorg8<|g{7QT;4P z>nE4Q%6JUi#^X4@C!oOiQ%Ya`5`FcP3+=w>Z{8koCW~r|`n1W~E@tu5Fqdt5CWyTL`V54mEiPbGv`)&+1X^2Wgk>AKsNiTtu z(BxI}YLX;6*X~Hx6cvO4WNc@@6x%31*}a>pjGwXlUs8lABhg)k$W-|>PG{mf6Wrf5 zL5z*uChMA@+^h(?>2JY01edEesP)RAUTY_P^W^pFfY&eW^2X%uuT%$e*?ufc_NnZO zX@JW#A=h+4FVhYE%nTS}T2N|cs&P;cZu+{=>GLY&5;=hiG|9IysA_@2;`qYNM&tWz zb;2Cqk<7W0Id<0YNL&C%tSK`u9Ly#It6lE zr$S%XX)xGzIt+820TWzj!fe-BaE$95XmFhiG1qyp!F4`tbX@?OT^GXbu8q*@x)>gF zT>{U$E>E!xuQ;rA!Jp-y6l-(fakW`TOZ3l#C~v~n1nQ1LTl#0TrJF%+i}TxIZCM_l zkthE`W%BvW(7#>2v>Ebu%U1~tyxuPVO1UZY^!W4^B{+w{IGC7zBE@wzxLwykh6~ZS zYcmwNuEptH4-;KmV6y8rnC`kG<*e>e&d@o1?Pw2EdQnd^u_)JGd3ETPq@g(NOm50P zdv4!&becKa&Ns_#Vj@oRT{X!fmM7oOlOIZ*=?}|~Yek;?TTQLZlb_YpYI*V>HTc{v zKc84|Etm!JCVaU-y9qK&D8WrfPqxtjaKc~H5n7e_-b|cRXJL`bw*>!H`r9s+-=ov| zp+cvCV7L6S7UKi?GsOgkg8K3o{3T9vawQceh85B|8G@nVOphzEt>gB%b9<;&8Rc<1 zTUZ(S8P~$#{0ypi_*Up+H+eWeg8~=}BMi&7c|=B8g6$qNPvgi&r`$|*)tK6qI+fpp z0v1M zMK{0$>bjE~>Z&A>^?+$8iFbqH+6n_)_n-uBg;B11VVvte81LGK(zpX=xE_E?*G{N% zJq&YPdtk0>FC6XqBg}I>0*hUb!tt)hpx*U3D&sy_g>A#Gry=Tk2G+R#1Z!Q-!g|+p zaJK7tILGxOoa=fGu5`T)*Sp?;8(eS0R@Xc5fa^Wj>G}}%xIRLK{TNI#h!Ohr4H)of* z1>5A7>=w7i_PP!BG`4xk?PedlGuY>Di+$zJVn4gH*)Q%KgvQ;uaOZRB&gO1+Pj0z; z@f>&W#L+<1E=ThWo}u+nMq?CvN9(E5q7&K6S}&CzyplC*9+mRn%8u81x(x2c~+qnV2o$L$850H4A!;KlgAE6_)*s6(b}X!R z+O2})HLq&70j6n(0BuWcgz?${)$U^$tPP~-kz1e%7radAS8b3s7{_UU<}_AW9@`t~ z2z<3ex25|bvkjZweH zYKP->C1moq_-k5)?t<6tnX<*sb)|E?+_}EwaALA^t#Pi4oa?LhRr~}@8;4$EBVNZN zRw~Eq1l{%j08mQ@2()*zmmNO<0A_gr08mQ<1QY-W2nYaha4IqY00000000000000L z0000?Nkc_WQ$;OIX<|%oVPs`;E_8Tw#a(T48#j{vY*z6<;PfR@6|Kb1zFgw1t)eB$ z=B+GgDau~g)z#f_2$2&HXP9}B%=`7%Pj>?Y3@O>WUoMqYByt95H2US~7eFswym)tY zXD)4B+seGNg{_j@+_Z~4OU-4L+M>3@!Eo@=R&`bu=Jn~Tk-4yos!gh$dG*ULzu?;! zXXSQRWvg{#j?Yf;F+GJZ%|&Icxh?OT$E336qHK$0($GL7GcD3n^T%Jz+-|nHH8**Z z+L5_!v&NdAfBtD?-j;QP2S1F>Pp@CSdiCF$0F-cy7 z!nSB?lgRsZo2K+oS-DquH?lnJ}CqtrIWXuiHE+c-=LP zM5-ox^ofL=7qYd<7br-y`y+e>uF+y_RY7HGRwq34bc=~df6cZcVo%L=`_%5%d-4yd z8V*#f65-)Gc@QBB1T-)+opp)GG{-8w)rzH2xJ;7vKy#byo`-(S>h;MRKhT zL(lpFMO}&Xja|mmEK5vLTNbQU&E|1lVxY#Rjc7Bwg_<|3upM)0*TA_{Hl0VM85UV! zxgqpmu`SpN=~OZvi)Tqz^ox8|@@7>qDOL2~shP`f(R5^P>YOB%U52fig!n*DZ0XBv zk>y#Fsg=aw%ISk!O;ZgAx!P-bUZ35R%j|x~3JRWF&^PvL0!KIc)^KVjb|bHrv?)!- zD+Wc0xwkY5&(e}kuQHWN5}Ay~Vdp~jjz3}+32+Mtzi*=3HGNK7P z(wGwRwwRB8-}spv*GzH`J4CA@fh1$YdfZso7KS?WY?P9Ab3{h!_s&Ri-T?Td~-&uLaPv zc`YVvo7CbuMt!NLO>6U|+Z{-}7aKO+g67n#WrXpFSqh%KltEX5uf_NNP}4Nqwh_<# zn2~m2pal^`Taz|IDY0#l+l?Bt4R7g!flgH}+f*K6B~f{~z!dpz6rzTPSF$a!SU{?} zVScYGn>0>}?N{0phJR!+;9f)PeQG{gb|sBS<`c7|>FfdUO%DtRWP@N~{z#c*Lp}f!1G#n(BP-IpU1G5e`aFai zL7LK%2$Ow{p;8Badq4wx=;Ka$njrpngHPwK0@N8$1r4DIe&;ZXw1_MR*us1A44m^Z z28&NC9=*eY*abJQpA6W6hO_L5B?x0jz(8yb2@W5nXNt0T83By|yWz2Ty5~3#=7b)Vh!ugfA`GxN-}l#7) zte4$HY#(-kN6lcQiMODgHsa-dk|KyE5|>G}Bnc)QpRP0eAXaCz&wX#{H4o#$%Sc4W zBH;Am?=5T|0!>nNMZZY|K12=~&L(8me=8SA$Y5=xi7oJjry}QTC!A$*v$vpzoh6gQ$Jp2Mf-K{Jz?xPC+F3!*5BR; z>^&P3wPS&wVEFWdvt}c2`RKJU)Z*tveRT0Etvx5mq$tPMnmKfqQbKj`gTxU}6P?7T zsx4$1=U1Jd^x(RmtBj(6HMWE7fRBARiBT5IOd@DT1Qc4(m_c;m5?3-us_v`IIiquv zAW&dr+W@PnTwrboR8mNmZ5}Nnf+P6hI8&rze8jW3CwQ4{tqScP5zok3i;9zzq?@(4 zH}>lQmkt#8FT7nQ?#!a1!DWjc7!k2ymiM0^((5)&u054UD~b#BhCug<6Q>GjpE zxwxLuuQ#8kSMNsVe0n>dP2b+(D}M09_4)K-dN#%f7~&@dj85Xlq@WhL>JSk^OT0M= zauH%5-2O|n=oyh9gh2*si^RLfus#|c4g|S(14%5D{jXiJVWBybo#x5acXUza(zGrU zqw?dD?$2w~F+4e&!q@De=Sg~W_ zI0qhx9hd_U|7c2{?}Xg~i7FXSn^K?&^VezOk?Q8rNV20NNqKggPiXR>1h9Gt8890Q z=+(hZa%v7Q8mQfHpn7*1bJJ#;FYe3|;kfO zelr}J07vEaE+b2>6!_8(7K^P2Esx()pYB_7f*OPnvx!+t;O>ICC^DWW#i}K!LsE47 zo-6@DIOLN?eh83&>JNv7y8lR_v&Yx33orKOD5U4;^n|zc=(bCt9chHi3=rlvVtbL( zmH>F;Of)|vz>yJqyjWTW@>mxTVx^`TX9+nX_4GW4z4SCWXO2r zc@(lagvXiMIM*3SsMrJ6Xe7JhSf{-OR@s zDcu&S@S+I-Nzc)9Z3da%ocH{(62zJ{WM@D5f{-d|)zac+&>GXZ%$gsJ(GAw;zj3RI zy>s}y7`RuOj0QUR-r}tv=ZCs4;3CBdXGDDp8x})LQ5(C=T0&$RPAwI1aYcjJyCu9_ zwskIakYTUNZ3RK6Pqy$?^&0Ev)TojhJ{4Fxb+5XdG*7aPU_F-=ePg~@yG1Ihfmk_Z zl=n1?$YwxLQh)*&@(FTE7Il~j?8fe~F%fFL7j{u)l9#mUeUK?TS6q1Tsod>) zS0f2yR3+Fzx;k8wAT&>x1n?&~%kH zl_5ctMB(z`$d9aUTGxWHD@huaSHY5(p1pEKa)ek0fR|p5Xe6 z9}za1HivhHSOinzB$M-RZ0(%l@Lny0VO&tMgie(Apt#uSb8N?7qz8$Rpe}TG0=*RT zEg?lk=_C@4Tk=trU{Y-U6Tp+EjN<1P%M(VNqRL)E zv#!dLa6|$fxA!FRjbwFvBsy|Sw+|dyL{y7qhbQBeDcB78z+4zbRMDj5s35`|V!OmN z{Q`xn*-0SN#hWGyNl|oLaowY`8;($bQAo`0+gx|-ILa;ro57weNU*>quzvpDa(_Ts z((nMliJ!!IHc7YAvXC!$$q0rqjd!3luZm;9l&G9>+ma0yLSCb+_&ZAil5u0Op_e}2TVMo>`%-onwEK`IQ7L`E9bnTjZ~I- zcy&Ip`Cq6R|BXDmI{gSOL%lz7I*?K6(@CX@>BCx zx@!(S4;?}7(T_{7J9SV#YUq~L&-*9Ipaj6z*-swB%TJ-R_Turbnq0bC0q5)_$D?79 zhTNntU(vSZE0I#H;E6_R^jkKS43O{v)ExGJmsZ&of-X(KqoL^$e&6>=ki@n1sO!Ar zNw`z2@dP_vgPsFa1q~sLl=oEgz*x?OiKEWbK;ban=QZQag3e5FV zgrUnJwucp?%BLJ2oG`lmi-la*K1Dr3-28})A3AncD{#yW?t)Za4;P>hq5r*v;jxR2 z4+s%^MSkoApCsm?%-fA-^y{YNv_Fy};W`CEcQ$8Hx!5P5uXDp3KRxA!f9?Ps88~*b zqIR+W{;uLG2ah&c_GMdKH~J)s00mp)GYnjUSe9CS@D4P|k^cLBT;6L{37$Dje!np? z>hBEK5>In#jv2TV_E9n*l3@pE@b7;I*L=xWJmYq2k?;yY1gx%9%y+UXH@YJ&=v^a^ zaV}O3xP zu%$Foxk;+b)dC@EE|%hWo!o+iGE$1W-}3_@>zq)dbp}B%$D7>s?WICnW`dTEt+d0l zGWxdw#2%8Ik&YVx!QpndpT={g4aOKe8vWR0^?jyMM*!=3jjX+~MjRIyUlj|3EoO+M z)HYkoNqe`ZV3b~xAz%*2f`3HTIg)r^r6|gtto8!=2nV6N3|;4qkWriE#wQdqB7GjUx9nbM4cR zdu}wY1^d1M*UIrc!TStJx$MgkXQ$=f_#A44xpeJ%$3b(4-!|Q$ekSH*WCUwzi2EJ& zyMP?&G*|v;qI5Xu<#12E4f_-4DXgM;*5SUEawxl3anXLHXF159Y!Ysw$({PH$vmO) zBnx;K+WQEwhZe%+)G*_4;2SaaMJC#`SSw@o7qJTyxq4)!YWYjsonC;lZ~_akSA|l_ zF%oqJYJoyWv=C`{_HSghOFsp^3cHB@#Txa*t^r=MC5|3GWupaWK2afYI9e%^M{i{% zUtWYA!?F{cE-whUR-BSV47MRRQX!Z_YKFGGO`De6pLS3x$?odW8_iDC8?JjKX;s$2 z2U$TJfXnk$yJN%=_>hARj%LvJVvAL?KJg)DlyeU$21F>rvOqs7k}VU+qkU?~_Rk>oD@k-=24QO85^r z?BSpz#f-`N+ou-ovkFL}9$HG*K}b_-bSdQ?mGLF{!xY8cu7BO*GL~zRL=d93GPT>V zkHLcr&G7o%w;cT9{sHG|{%Io(U%!=B;sX`aC$@LAj|&~2l-CXzNg{n+X6asP+V2Mu zAbp-uS*>54WF*HJid*{1M>(A&P4~d>NF1z)6@qHmGTTMF;!}6~IrX3z;mF<@M<5I!<|;}HoIx6OY3 z<8Q?Zhr@#tuBYvrJ|uDigz`ko*66^p_ZIq`E#MstY$lgexU(UG=|T2Eb`u^vIOh=x zH#;eJkcN9_)voxQZW!*ph+8xEY9vTWG~(EZiKO}EvPTMXef}^GGeU~mk~DLD1GScq zvR-nkJ9AOs>{>0c89>rPx&qx{9#M`kGahsvda8p7U8;L=V(z%Vv2HWj%($PNVndLh z)tjhidcSZw?ndZdfj=(P_TtzN?=V_pUvQgVwuuY0!9k@Q0)iqODHxKV9$8dc`fmBy zrS?6B=vsRs{7@dhAlY5`qa<#VgA+$V;Rb?1KRK89boZd|H_5sNNY~84iyuK~3D3YP zX@A|`SX|4}ZjzU5I~q>)crFLYt|l-liALCXPLrwW)8;4fxnK^gM}|V`Oi!9L1_!z2 zz>PIKn*bc5vDY24<8WF`C03vj0C?&Tmq2=hn$Vw;k%I$L%+}&1g|^(<>O0+2oIc@> z+sc)t*@c8rOH{i&nDbZD^e<-|q%MCr)9}Iv_L4N|mh2gEDDj{~+Y|GV9&*`4FD0CQ zR5{m%jb*G3b--!k(tiF#u6vgiggM5;$_S^f0X_UxFh{YxY4{ZSFK*X|i45ptkKDBs z7qm_}u^zm4Oya8Dbm~orTMJ2|f-xtZ`fZZmN;xG_7Hqta)#?)2Uu;#_Tq7v}gA;!| zw%=zh4Mgz6J!X(GtdWZ3P)HL&I+qVDRjFMTt9x6cL}^A{BcJW-HF1e{-Nzs?f zI7nVNIwFiDUZef9+bXjS_|Y9`;*qsb=-7((u+Ze}_m^v;s3SniDd@%1j624Izgm>S z^RB02BhPKgPw(#`2xHC^f^+qb5dn9Mt za)>CnyL3c58}<_1-O>2R5QDD27@BZ@G1^xCojLRU z(6;L&diQiVc5rDr9L@j5iu8-u^PkL5_Lo4Y7ey%q9ja3U+)O!YE zHF6qIbIMzVJ2-9aj|<}~xwE8yBSWCi-C|>}+e+-;c%O2Bh2D%wK`z_KB?7n}psm=a z#rUR(msS7JwY#XDRExlJPHb88d5FGZ>76OL-n3+KPYeUyP9@dB2W3Nb5B)mUt5?XA zDFjA~7gyy|cfgdytueo~%N3(IIj0b`$LJLTS#ghm$GV5^b%RUg0Uy*o2Jw7mgN?#) z;9jol*48JZP&E1a8|Eg92BhiOC6X6AC5z905#|1Tmg}8@H9v7+*11iQ z=Tw}03Lqh0NIhvKWGw+*jQDx6h zvV4tr{@^vOf$VMLuJg0Q!4vwJaPM>PBx!cvT`1wu`XgWV!Ph%UPP!_0N#5+_ENP(c z`=w&qHn|f_I{Vqw|mu+MPD^aSx%pPD&dHhXdvq;(2X3VC*4}zKLgd$8l?{Fd)$#Xi%qxg|8|d zkpXagJwX(%XqfD~KQm|ntcqSVBkfF15w;cZ0yFIcuUO7XGmzml&5ZcNcI(ft@S)Nlmu0~_ zfGQ{7a7P-?{?pWJ798M3z2Pk~XiBUjuro|brJNJ?M@H2qs&f>p%q6d&lPl1lP+YmO z4a8!i+}(NfsHv3&vBEyclMB1=zQoto&Azo}$M@P7i25hke&j1o_WQ)@;AB~N4+LK? z$tem=>MSB1SnRsek=%o$`POb=$x9NE4e#(3KvHptQ*~A@0af@8VxsiEYUhWnNvIPvhBad^P_pvhc@K z^LBDJzPp{6`TL2voW7lnXP?dVmVP{&T-{F2&BbgoG1nL7?EQH5ZZg7ivk9IE2g6Mm z!2tBsHUB>O$9!@%H#d{nhv|GyqrLrX#y2-K_?Yi9z8rs|=>8vPlbgBu^nP+>t}*dWm!@NP|p7SZtMmEdufOdEC)!&jlqW*FuAN-fQwPO*I?CzFqmg1f6rtadi}`yDN)Ckuu|0fUU+k-UMM z;m&`W((Krx{`Qaf75bvv{?8;x*XG0c^Kig7uzYqBLQ}dcT4KQ)YGmfQCCC&&P&orvJa4;PKhyide=v zH9kALn~{Fu3A{q&+}@GKO|N8Muwqu}>FnGq6-(*GczSs^+mkbz`kI(XLy}%W;JQt@ zy}p=}GE7cJEC*(KL35qG*BvyG?tV7!X*b?Z=&|wn$0@6yOho!{J5{b+>j=t8cSjE` zkDoqpRS&-Y@Bi0-gv2pQnak|!ugF8g+l@JJmQ?YaF$sP68Mgn5FxaK5QEG~SbH#G| zog5m9@0bnlkk;5m{kU8O*1zh_Awu|B=$fKbn?q}9$d0TQ+$T5Ug*w%WXs+RX~xjyiZmI42Q zZRG*NR+-#i(NUn_CV4{~3r?iXIceOrXs3TQ@gI=*3p#K9YW~760_rf*`>Q)6%D1EW zI5+}4Z~W1hDL;Z-Tpu+@H|su(GCmiLm4Nf{YWr zGa-spP7g95%+zQ=y2e?NMp^8jrW{O@XifnQn_P!!-uNbeJzBtd@0{<;ec^BFAkzE5 zgsA^EEV;Gz3H~0u8nfi%=n`g12>AlGA(Z9A%|hQXL3AFe?2c^u^ZFWPQ^|!@9SLluEO%su2FLu* zMO7|-Acg9>I1qz9>P5d_igHn(xD*b$7MyUO9u$f>Uwkn zBme+@X8-_DO9KQH00;;O0KtL|K>z>%000000000002lxO0BUb_c4=j5WiMi3b8|03 zVRLgXV{Bn_bH#lJd>qB~@U**=_7 z4HXw4p@kAiLQQB1HI!g_6}^NK2q6$!NT{I&LQ5f#gz|q=c5ilPuDIm;%jG{D~okQAzOr;6O)b|MaCj z9o+-*K8&LKb#!+O?2i$xn0gRKth%Q?o`WdL<|u-q809YP=#DQQ>{=7=YiV8683#NI zd)it%4{Gh}5a0DpHn6^=Fukg_g5;7fTz zMe3Q2f})){nu01YDsb>C2>_`zP6ejMr3B^?Umut|0r*ZiO;Aw9(XMEEfPx?tA-zVy zB!T?)XN7%f{3=Kqrl0QXb%g;(h&6g+9*0 zWC=?hiT2}Yj+kT+Z4Lp0^#IkxH*~bc8@ku_V6>~H%>IGC!M1_HzBt&Qm{3!DP(yWX z!{WLnvz4jNSB{&j;L?Z&#T@%XCH%eI-L7PKt9 zHoalPgTfIL4(4cu(9$S}@I2n@`r>hE3=+km936&M2FOxDo@Bp|DYAkNNs_qu`g-W*|%`11j=Is2ftlVATn8&m33s5iZ$OOh*B_t6|36M`q78OlGXr*p7ND!)!i@i>l-N8b%A-y0U)pf7wYP*23n?{jpr;5BFk z-c02fmUa%V>*!wC(+UPS3v>$}eR^kW_qyrL1AQIc>tA4bSK3hv-MJ2EaYf+dL5O7ko`!HBV4?CP!zXvjgtOBqmz1YMyj|=c1p)(0SnR z($T@uPtp0Hnbv-N1EaZVB0+lI#G>s`f!LBwP=;!`Oir;rJeW$aKj-KYu{tdN6`<() z*6wzJcv2=r<{9(-HMTetTGA{)HB2r;LG&*;x(Zz#@X8^iVqt^Uu0_|y&@VBn$P_$B zThR63D_Z+Q4=`%jW-W$UFj9?~HFd3R13i8H!qn>O^kTc2qg&98;jF+I8)@(8A6N^Y zeLbC>;)dIO-En6Z6Jp3$7n`SBJoXaahbpMPhZ^At;VU@wce8)bRWvjK@XuvV(4LvN?ih1 zQMgF+WQAQC8((a0PiCxy(wf%7r{=S0$aJb2w>5m1|pFs9e zv2xWs#a}?kD#Dbf3J(gHvbw)>^bZlK!ZK7~CT75%ij&Q)UA>)gCHcDT)Nu3MMN1df zN^Za8=-)!cMj%aK%0d4{U&qi_Df0&fjH7SRw<>>7H?}K(&;$zAwr;{`_O@s=!6c@F z$xrrU1bfQZdIR zPDu40q|ii_G3}EPA6_(T=r&RVTnecHo*>Fm)^kD8B#z5)xtk(|yr`nl1zYkv+V#Xz zhJYh*Rd77jiL2Sel^-Oa8f>dugq-;q2w-I@>#m+Zck$xdh0?sMINlXc$EeuBvX2oo zx)OXoo`Gk^@b2Kl(!%C=547;m_YNM#5U_i?J2!X7H;M)6BDUX=|2pKe zDg$IP3&Zwc)Mp?JZrs-a}BJsB-x}e#x36AkCgV^&lYtu%AVEanR`o*p*IqrPw+FIZHWS zhMO=N1{f0H3tiwF0Y1!V;dnVdNMt4L?MpUx$NRJf;o%CrGKLRH9si^xI9`Ph)$vc> z*uMCuqzfCu-oBnTyVB#-C5#^I7sU5Fv>)#t?27lbs(nmi((2}=wbc!C7w!Nmt*e;4AbTa1#%Y*FHpiypGX!9Ivf zJ7-3q0P9lBa=CUoD=bFCyS}=Hg|z}w^A6$lB#yt2PsV6`a+6V%#VTYa9N3NaZ|)xu zTb^{qn*^nPWRajV$wC!{D$6^A;~$12kO3%V7V3GjVq+QvOTkxS!@F|^q{w~dNPITO z=iqZ;rx~Wj0t!A{8aeASdMj$|(m|b5&dva_b2+Nk9KL|#3-Lw4ye){K#LN-R)!ra7 zgo5A{!GM}poe^Ov%uf?|3CEY>%Yq4ujV)TysOT9ZM>NITdivS}9U}qjN{)Xax=P~` zupA>jQ&W#b8%NB&hU05R(_wUYuIlrQHtsYV%^19eiKtZWJ7ossQ6h zIerX3BFxCvR)YKs{#cKafl zf*}R{JC2_fDQvza7}+huzsE1c@bfA2Oa+bO7x7Cf&r~yvrb;jkL3oikmjC(YeFFPlihVO96;76um2Xuf5vaPK!&E|o6iEy z;9ZX26Q?MOEf9t`$Pa=xrhmZkhvJBbJ>Bv|`hG+I+_r&^4RZF!9DgE^7g@8tQQpc( z(4>68^t9c7y?Zn~%ilTvhj7$mT&yavm0JPSCap!b;3rntbu;Uv2L6)cf8+loAw~g` zXKX~I9*|#0nuF?8yI(jN9n!w#1mUj(+ri*i*45g7r01sfBftGk7$*^-$U44xa_LtEf|6*`pLr-T2aea9DiDu+X)GD{F{py;Z4yIywUruI|{ag%N6rbj% zcq==dX+WfhD~zqk(oA9la%@`H{lD#ak^?!JOXj(wW~lMmpc|jjp1zK_Xkivv8Zdg8 zq>ht%H{?(&GaQc>$^%9ML?b5)L|kyj)$)kC5H|#muMKK|HQSp$))!SLj0SF@clQo>Qk#-mTJ}sis*C?yEWGz`ABj72= zr-sZ)2RQ<)Ruo&|D@F%wN2yg%Dm?t=Ua`~ZZS8CAvP&8c*?60G%}!Iit7k)8aa5ja z;VX>Fa*yJqPb6w3mUK*TtY3aiNY6!HNWeACOEGG-s4*&I%}diOI%S0sAV@`T;$$;9 z+Lcy$bbymtDJn8KECa{m6?u4F-^-E6nT0LIwc*8whY zU~|ZIDSb#f%2H}qpi;^`g_Bc-a%~6a0~4b70Vk)EGbEo2dqkQ*D`ZWxy&qD#(zHzF zz&QU{b9k{Y?C3sHka9LB=ZN&gxeCP~Ff!4ra@w>9I3{f{B_-*NIRdCp}mCXqQ>^y;8br@A@X96h(ay?Xti@QBM(8Mnr4 zbDV}5xgcKR59@gXOF z6)=iz7~U}H*3?D74;&1cK-}fxo$C0ElfQ|5h`nGnoG^`xdj>kzLZ-1GMTVvnvv^5M zL){@6xE4vs=bZeDe367>4{21SW5O)LU4|66LzW@mTN;#od-7jSz7jhq+cLaS;zXSK zEC`XL10jH+h*KO&GOTgJ+jv)DzwZx8+1=FEF1J`T%4v*dC5aC{JaII?A;f=mYyW`i zU){BhYyAJ`9bM6+oYS4?aF^y1{1pf<68uk07rp3s-v*I-vR;@iG}8lyD3r{)RqtqB zyt_}7(Awku5W8dRj{c`_OkSjSW}LLhPgu@;%o zlG5b~+a%3Y%`!EqdSOHD;+712lw5TcoKB_F+zUNH>oB1Mb-1fR*jSIqdm?KF`}zmc zJ1&DDr#KdLh)VseZ4!qvr5T*=PG=@(LScvsCgfsi>r}-xRidt2IJeqyJ}B+^{Y;Pi z(7id`hwd9Fa3{f;CC>7zY^KOPZh6x}0dfwf`-`JF<5Pf?=X1sp+2v~DDohOdI zl%*k0oqvglqj3G6A{RB(G=xq8PD!`RG$d2c>3p%%G!*B6SnPhFM3(jteq+YeEaY?% zT^!I;5~SHmPllqbfl~U%{;y7OWy(?$r_Hn_U?*-$bM!eb$pG1bV zj_&sOCfO_%gU5uyh>8n@a#(Onw*DwmSSJ#0-IB#EI#s4?IbBEB2jZI(w>p?4$X%;$ zq&xDKz~tbm{(r@jjA-4Q_K2faZk`gjOTv%Dt2XLHx2T`cK2G~ZnPY+zjxpHVP#8~Z zH^}%>k&%UIU2NoZ6WtuxE!Yra74m%>p^3P41t@RAuajA$^OBkl+~~VfJ~il z;?xtpj?*oo?i}`G0rXW{1vn7JHJqh=s^XkFiu;T-BsqIYZ|3wCdaE79Lud*s8l50S z$x07lYg)UHw6w2Y6`htbl~RU7oZe0EaqHjvX^BP^2SN(2?&<1nZ4;#+dOxQR2qSVS zLJza3T`GN2+cp`;R{Y$IX7dqFe?=d4v#BVNuYyZHV?Bcd>Q^jcak;na^Qu7pl;z#M za#Gz6AYW7X6sNxt2~v@RLJwWYHjN)PnVK0P>ZlR@9jDLI=iHWP0F6{M)(L^wOTrtG zsjCq`CPQE3^dCwM)`VZ04Gd)abm~W@@ zzPVcy0RF}47h?ZEHVhzG04TAZ$i|9JJTlBBrOy7#=~u$BPH-bM!H!GuRCGL2FK!R5 zIL-x#8R85xg3+XOhy~~@nI)?Ip+1RPY)naS0362I408YaVnS!h3$ z=XwQ{VVrSs^w&~b@Br`_`-y6@3mCbajSyBhE(r`ZGN=aoIGKPninGz8-(!i^b^6Q! zE_eow6>>I4bWoCk3!FqNP5E3l&sYg(V}+5Irw1rIY3*@&3fZl3@2DG_z}ZC60!*Pv zec-Lg`A{8NOW#rx`x`cyvz^(Lpo@0GQ^x@toJjRaGXZZJXO-eOS~7V4p|r8d48w!8 zD`(S1@R*p=j1;gEC!$5IkjZUI_3n#Yz%x19gYD_?95$pB@4$%abC);Fz5L`;fpH(s z_DzMcK7rA_NNT%5|2^V7-EQgYb&DES)HbbNEc+vhK0?7`D)38t^s5KjnbvVu&uYN$rKe0zbwIBV4M}k*UcC9j7I3zZElQ3u3@_E; zc)u#y+}qhP5Q=sCRwSu8X6E3Ran{6|1FqSHr8o%|Nt|r!cD45UZ;KiLM9-^du&*uC z7gweGSi#vLqP1J6Mkv0D-+`)VNcHm#eq}@AJdCsN2$z)trv@AC*B50OK=hn zAZ_GqlOV|mAg-YvW8r72i^h)OOupbgE;$t092u7sfydc#oEI*#dObh%>&dv%8+#cx* z+=0Mj?Oe{z3ky8SaL=pEbu~2wtn)d$Ak?3Aj`bR2&W9dh7jyQrP&YN{c(43Yw<5T) zVwZAunb=qvg^|D*?}#znY>9XE_IPf6XUD66Ndm<$IJ+wJK42JHLEx_MrN!)PIs0Yk zu#Pp(?_nn%Gy&Wu@rxlDMh{V;U^j4fqo`1fcj7WY=6lbYAo1<%_kRp*N`-jWEHkm( z%Gqt~_Q00b1{jq9AyQ_y$Jwgxcn2SyfPiyPvZM*n?qSLXfi+-UoEr(%0JE-)UHp)YS8wy}+JxY04O% zwV}0h_klj~dVpviiQlvvVGh-`BlZese+<=gj1k_xL*JSQ79EgQU265rtDT$4*&?Z* z*ExGb6v!r6gjiU^?F=_gFEBWu_-c8Zvv&mdlTsqpswoOTHx@>NTo^k_7JuRF1NNcI zT0&^mdQ?Y3Ep9_E0l=oq`_*6e31^?OkCO2nnwY(&wYz;|N4t2pFQ+Xo=u|)Jp0fr9 zukKUt*;?pBZx7TZ+vjfWj{}cn|KjWm_GL2aLwFmK?ytB0H+Dydae1rgznpy)`o)vb zs6-;?PAk6U5o9HD$#c~3GnFz$D37pE%H$ZC$Oe;UCXpDAWLeo@>KwIs=?WKXkzqW- zL#(-mf<39grcX_Y(Yp#=pos`0ip0NX5E1|15cnR0Z`0uu!)IjLT`21oxF9G8{zm1^ zIcOOCZP7y4lwS zVYUt7NSM{>gs{JqzDsZi+Jftc2?GJaRr`XgLA4>l)uDO~dI0`LrQk}&RsrxLCNa$4 zE0P}x<2VM5M8`@T`>Q!Vj?tii0?FiPAl4J>Vo;b=3R(hJ3}#bFK?EpRik3M{tjRX9 zlVJGw1145&m{^fyY`II;2idYd1Bm#cQ`U!|l{SP`HiUCw)_EZaMH0ey&}t1~9^4gU zbEZjA9&Yn=F|4|u89e2HirVE`Oz;$U3TUkj>`D;DF9HJUump4jn3=_1XZ55TL$J00 zOV@|k>yhmBx&(BTEuh3~pAkb*FUdZJ6HC)q-M9!Pk>Db!QYsTx8Y?lbr-oA!iqT+{AEr-3W~ z@!ScXFUy$#zHA7c6Lx2Rf_3$(vGU8%1?WP#D$C?r#PB>%IySNLF9PFpn(5DMA-oL+ z`A)!0FGZJy%=B_}g@)IpU2w62cV)s0=afpyuL)EBSAg`90l(OXpXWQ`8_Wfd=ln2o1Xz-FL`c=z#|-;Z|kv)-G^sm*A~w!2E+JS5}I@yX+$V4xz_PQYQg- z2>lnx{0fai-=Oj6TeLIAXa*)|AI#7a95Gl}1}r=&Sy%=vJSkaN1}r=Y(3Ti1JOu`; zSm*}$5%?S>7d5HYbzYUJs?SUw904Re%_caj+QQ1j5U=sAgT4EjA>VR`jDToHNo z0$foDn97qg!2Mz-xL)ISJcf4n7xXDp(4yu?GK3A~10*R#$9 zinHN!vJ9*f@>zZ)9~SW&vtk7?&w7Uy#H9JY9Wq~Bjz;3i66|~lY%=;lx*2hGfy`CJ z)rWH7i7VljnYG?7IHk)NW^++O5wmKVW$pe(#+$cy|l$2C(P`AppiM-q7jUIjV!V>@;SyCX#|suh^zsP)NYGLd?Kqfk%mSv1HYk&JPyW) z&r-Lx3RF(_EvW_K3p?!vSwhiApK6*2av%cCO zX|hk!WS^wTK1q{-qz*~aWKGgY!{Ho(y;S@%unpm&_tuu)X;^x(GV=)qOnB=ahqpGV zROWvJnE#Dn{x^dVZ!xId+xOagqYH4qq;_xLYwr!ta}R0uduv}^ZusgW@PMZF3>{D^ zg8@YmIGBb&CB`9Ci0?KCtMm!0^utM|Pgtc-SfwVc&>(CR-mD3`RBLv2v??2nFR&}I ztn}zK`W`Oyh93fRd>Bo^j~K+x#^2L>mDxa|()ny(=d(fQ$4Q;f);gbJ==^wmf+lt| zz!K2Pic9>A6Q4MX6|e+R%q_hqQ7(SUNI}c+DcT6V&6Buy7(P`JR3^!wC|8pKDJVV- z|G@1YPPg5|bKo9+A94>r3b}_L<1>w_kI>XG?K#hyJK=^9z*k_x9|Kh%1rVnbyz1cR7kP_-b3@U!e&8I(795|I!KUItv(KC_*A>fZgCIh2a~m8VTWu zk)2$nFz|AAl?C5wLl_MZ@?BLHd}VDB#EO z6B@-4IwP6js2&u1N!PEfq>Jo|LP?2Bb&%0fXE}$ z5cw(gHvVCs`Zt@-K9o)RgV8DBQ^Df~ed_1fs{tIWw+#7$|1T2zh1dkUn?sHUVVL`p zd>=_Z{;w8Bt{(!w0&i@seh53?*sK3USp6pj%88fStDj>XLxK5aQG$p|*TZ2hz_- zqQ{P~9!ZqMTyV2&xaR=ebKP*sFzHiGxZDkQCmSwoD9FW0;Eu51j&y}Jl4sl96<~K) zCbc^m0wB=1fEC!lt^;6O(f})RhX7J+8^Nt$1h=`30K%3R0t&++fQ%=l8pWVaawa;e z#gMP68Znt*F}#R!$x9MLlbYdU$kTZ-T#=cYn>_g1BPq#)$g8St zc@Te9wJneA1y6+&QM|%NiOeFp=75)=Q4+%zM`gvK@hZ4g6}(l%9!H~!Sa51lMBI#o zZbrq;s56aFhE!E0{uap~51)~ui2RHeMU|QD6BO3Iur)*EH88Z-!O-4>WaBNgGkF`$ zAn%}k$a|=cypI->56~*|A!;KZpauYKoICAkON#6`~_(0OT&VVKp^`H zf~I%^Ud>HAHj4hBEdIYu@RZ=NY zV4|D!xbr{KYx#LP2W8X!-T5EsCj-I!j|`Fx8uV52R1`whu}UGGN>4Om9fI|4oW)|5 z1t7R3m7ZLf_}XmMd&n_ixzvKK)S)6;k0#LhXgX~$)@`*R80ybDoQHl)bShJ=a*hjb}OXc^jx zHh~LiMq_9T8b=Q{Y^&5Ksg(SX{76cyKuatSr1)dC5g})~WA9nEPQC+caCMT{d#)9G z&vV25i4Auxz+IOy+zZHsC~@#X2(Cz^;p8GAE!`ZLC^dLVDBq{uU<^Hmh>CnfMZPr` zNnMGIEYI7kkzbIjl34E5Ha8nUFB_9s?k^$73|j6May?4cKq5ET(7%T`JucWl0+{08 zaB_3FfkbXe1^reV`Y8bY)Qq9uLEN<(V%KWuk0C;w87yB)$VROOvR1qPMDDe!NA#zN z(eqvPC-MM!P(ye?S23DK#Hu_;;MK^(1r74htOyLtsGva}4ZDR)z3ix&iX}Hs&P9irgs>gcN`efE0U;j?aO&i#w0PL|eo6EP|+v#`gh59t8>9tn>^m6WhsZxotg>}P-vP(-F4)X_2IjFo=CNS3UrNklb+j%vF#p?~p8m&9 zPd^5^eUe0OUt8(vH}25*t*wvG0q(!ta489gMoKAjI%FEL?eS}X@J+}ezv4S&Y1}lM z=IE_z0ceK9)1$4Ws;^hS+F~WZxQcXM0|^S-=gAM%=;D--?F@K zS@11CJ3nWL4%=&3{;&}JEP^(&sHFdBMVaphj)v`s@&iZ1rsP7&-O+R;Tv2(I2UkLu zN6Q9cMBW<(S5bL28m?mUDj%-0>CVV&@@7lnREC)q=Z2)ZRZxmb`QZogj7C?8U(|zxxvbKUSu|sq> zYt6CAXf)f|SaStFT?Mcw5_F9=*4&=dOIl9S$Ir^t@iWROgG|l6ODK}dz%{ZbiYg*@oY~NWqYA1Y}Srx#M1*(Hsa}lnKa_r z{vf>r(lz2~b@E0$t)aE9Jq4``?gda-(lXBU#H<9b4SPI7pf}I}`KI#DEdgC9u0x#BI^J=bZF5 z1i2?&HY;i+nYI%jrSL zJ6{y@&KG(1l+T9f!I_*dV(lQ+xOu+Fx2Va!QYZTsHCbDfC(FS3BD#tmYLFysYl^Dm zS|{m1pt1%#L=OvQzOsnKI?*uJg+{R+RL*)0bD!d$X_(?W?gsZY|-0XpbAgou=KuF}xBA-ch_En#OO&dxHFVi2xt7=nePHOgGl zDGBF>QjVd=YLx5sz9>%xbGaF!-*sUL4kwo=8s$ zr|^o=A^Lq=J(r>!c3Cim*Z8>4CD&Z$80tBV{=muGSmowVcky-&@OG`6H~M3rw==aw zflGQ;h_|!pImmgCl%8uHB(+??O(>h)96Cs<(3fadjf150r}X?Js4fVjq8Eoz-36$I z0;q)NmZ-G1F;QJkuW+0CmA0ur2&Vo}(A4SGAydDGUYoQ=M1N_gM^AvI|2kMBk}$

gGcwP^4MR3JtIwiK7CAw{CxVjUiA6W zgaSPy`UL%T3b*{EEwN9*Eq@kt%Nk{_k1|&WYt#QfO}!eHUpN(r%7*AOwqbn%%izmk z;Z!3TCJ#yl3a9k<^m(^3UZ^Pxy+y~qMOhJ)R2dR7{R4d^OscB!(mz_HMj+3QWCchy zPW;i=LZrS+42nT}XwH&?Nk z{xeC^|9RS^KQar&B6|lK3EsiABLCo8kzNmG(*Gs>cQQZqAKL;BKsk{E-O*MTf5g2- zmY@2XextD*1tu=C_mK+AM96pPw~oDdq#g~A%r_F=kv^)CKB|#^Ha?P3$&k3$A-&M> zL=T=Z=Dkj|LU)aUg;m62E5VpBP$d+a!h3#je*=(F4Y`G%Xcvmr=mD<8S4upMt>S8>b#LAM? zqglCaAE(0dI&G`!(aJt5wx$`+rfyd=K5{0=^(-_#ayFV0IS0*(oV#P1@obl5&3IO2 zhr5d)+?j{>hWW~MG~?NBYzE5w2s7K=I>H>e0u75?iAF`P0>-a4k1+cTPhfi)N0=4f zSzCv9ZyWCofcHkgdo$p@C1bp^*?!@@VzJ(}u{o|~K7@GW?%-ZggU|K#n9Js}c}VRQ zzYgyeSv9M%yh_Q0A?7+`6nO}|%ENB2;-gdkg#^6HeAa*x=UxPol;;pxqv;itKw+N{ zc@hNr8&n#3I>|XikA&c)y=71x*wQtOySuw zo@D0M+`0D|Zq@hwIPl|CQLMFlcdzc^kh35^UI7utjz z$OJv01U--hJ$8wV?bTa20q!vadiPqgVZ?14t{WPe?zTjHo9Bu}p=*1xN>-}ehJ?zQ zOEs%pwl)GVe^4&SDTC1Dw7BCgDIRkD3aLIZ*>K!+eO-VYgQv3^2VtF>v8Msz#Fyms?`O zhyA`jC-tHyCY1#;Q@+GNo)f1PPH9%ycPuzy$0HF=S)4LTjXi9NfmDC*HoOm6y6@yE z=EMaw#OVMzyf40Lwk9w!)O6b2bQtK!aYnSu&(nZ1IV=$_E#x`cC><^!S=pBh_-p8b z1H^#8hWR;VR1|x7-+`#awRP{>S$sTD*Jz$M{}egWt;veu%;_NcUAv8O6x5pf=gLrV zukN`RW{^iLO>oTD0gID@a1ypwZp{>zX!Oy7Oa(QUx^(h*=SsR`?#6!j3(xWuOg@6G z>^L;Q%#xbSrE#_MVmOp4?U@DN{Ng-~TS&9>K}-?kR^eBbq=N6g-()CIp6g9+=?u2d zSV@{g*CX2?YPHBvNky4l>8wUnuF9FOO`=_BgwHzK>tO0o5|qB}f(SYj;}EtF9reoH zE~gI>B-qnOl!xxG#_gOSH!uaetXmVw_l4HzcduPJT}+XN8OGKQvO1cdqNrfQ5-yh^ zec3JnB{{n?P?U=Qz$Nhn!%FN~B&3&~E06-bQaqP$*a>{Smmj}JuIAXMFQI7Js26@> zY0mtjt&O~xC&4;SYj~#lJxetKrSbN;W!P}G4V_c!S_;c+`Z=BHdx9eQaeU)VZ6e@e z$?XQ{?M7zEhCq#a{G!`bJIx8N+@M}v%&aIOI0Aw2j%_{|COJPQ`KLq(anAIM!&YWz_!Z9CSYiHaXg6{uak@!x4OYZJ?NpM+ z_n2WA()grhd9n=2@2I%IGq~vt&ysi6)bVFYQc-&*`F%@RLp-s66eQ?e`mA7vYKN92egj z$Flhce~wK~JRgo*-B4O3VoPJ6T3c3RhQC*sI`8N#(0QtL+Xb*g^d^^rx^?3Y z?Y@A5k}u*RNyCNv!;m-Hmw>Z(H>xcmoWtS7But;z44*JtPS%_ik@iH7C#KlSrKVuY zm@jAyWx_SeVbqHG=2)X{axQ^b=Sf@GZ25W>)29j@7BYK_W7(j7)TaR1AkQh+ zEd8;$Qm82&k0J*FysmdYx6-1 zR@tT!`Z&6Ch&e<^(0M7>EnRow5lcS{9%HrA3N0FFQc|}xrOm51vA&krGpgeA=zD#4 z<;R%>(@3oYQ4Ag~41~@kX-1yjUSRz@hYj%^Xwp-3Jar2>GL-4QXRJjf-BmS1&h8HZ z9BNuRtl6Ybe7G3aE+G{X=sKglPnJ=~Ed&Q-fq42f;*tF?kMZtA5z6F?BO?xMgUl@x zqY~~LD5F_;v>$_xnLRr`eU9EY+DOvk?&;l)30DmuFAKgQ=8E?oGF0pgF%R>>a8zba zFb$C+ab8Bh0avfH4ry%5&zi5C;_zLmwq=PuFbN{$Ymj8XuB2{DPQE})8Z@rQgHnNj zRTzMKU8(#`NjHuAY?NLWYkbI8cSa^(lC~Cgob>TRi8doM9gVlc*y8->3hYGlXVNl6 zZ8@r3iR8yMY=VPrLUmT)s~8r8FtbZr`0FZ}_|tnD^8N%4+pzBo6J8%~lT50G4GHB?tE_R@ zOQ0w`FZvrCQc97;f+1^Elukeuc;hvSlf4``VYr%$NabIv zY;bm1f^?fCrjm7qlmz5En?q3nd$MatSmO1w0$SF0JF zLe^`T2mc6VKDf-;!}KIAl8W*8P?Q6{s)huCeSdCe@G)8W(v1oEt(<9{gTH-jo z+H7pUCxSYB8lSw;lRFrBY$%Wfho}_TQi}9}XUFC|xrnu;_o1&Z{Mv3&RVeWWe3()? z3yGQuZ=;bKObitGez59m$>1MWk>pDbpB7povuIdm=@pspIDc zB*5#IisH`ASF_~EiJ#LL?ELaS<=0zf+NxJjWT+K8dGF{D1)GM4!CqK!C5H~;kFr>g zWiYre?0Cp1i>Yfz#8UtCR2}I5P^1jeL4tk(EL-He=D_End4zNY^OJVfC)Wu@-)rIe zngaZ3Ps5gmBglMe7rib}Kb2G26;r|Eup%vn)h4W$l#CQHBl5uH==HLVOT? zaf0H?D6VKuKQu~xbiLdn@q<*ZrLvX|?0VFPg?OpRj3QCPL=qd;(qOyRp^f$kJ4-@# z7Op&XE`Lhv5r>JLepTgM#XOm33P9I;HQ!fn*GfqlwJ=brX7`BUuOYZg-25Yb5!7y? z#&G%`=~{W>8MGepqb^e_neyZWpw&XoFBOPY64ZN3f$dboH7UG9B81o4R5^2+>i%^uG zg;GIwz`n0#oyA8xm>CW+tUR}@R$*vPFAdq_Y8dztL zpjJ!-Mk*YE<%)grb3wsa9k)`xcLYJ3Fqr{}K^-_o9{LJcg)O7=B;X8AUTT!khbQhL zUps!TL|qGKeD|d{+pqmvUUi>l^5xGaEMF{iqDHkgD@f6sTd zl{-6BWdrig6Ez_Z`YuPKn}tkwOk1y{qt&<}28r+CG{U~Bi5^W#ZlPinDcA1u9+fbV zp}@zr5Ve~q^ftB%OHJ>m&4sd)x1OWXMHy{san+YIv1H8njukN8W5`q5unOj6Zon-5 zYJOs?(}y9N#taIVe zj^T2LU+V${DxG@ZE`(yVACuEAs=@TWJgLxaG7HfgmzF9!DzBL)e)dM}-+i&7jA`0{ za$Fla#C*`qps=ElYi;SINOlu(nbsVvUT>Sc71=5wP2t6bB-u4yJN9D>bfq>Cos$uY zXkyG2v5L+!r@08a6=0paof=gwU(UIBd|f|MVxR)WSc)${dwxgUmZ}0n!o!};ou^SG zx{&o^fo|iYg^R+_4Fq_#Y$tb82(=;<;^+EMC&H}7YUPv*i%lCo`+BwODEN}+A-%T) zyvwBk2k|~ut`oeCf5B8Z%GKoehz!G+sNJU@mrlMBKTy(H-pj zXv05XRlVnZ?-|oGA2!(Z3~~Wl5N7-?28%LlYXe3`FyT^5BpPtMSvC9M`YxPP^xCqC zfJxL{KTSvmb)pAvhZj$rSLnvh4{lqs@45R2G@+k63_+Mh7l={H!G4#BP7rzDtO0RO z9%pXR!#;E>^#pr=rJ!fTC6NfEjtHVu7_G@-;5Oclhdb1cF!d&4!HYmv2cgjE22`ww zHySU_6;q!V9WTPwRggSUs~w3qN#!e^fNEyHmoc1OQpWl_;$unb)t@h4t>$n_KZumORc`e_?Nq+O%9}v_?-ue zh>Of^`Y`+8uf!erc0%5e%U5#O_qu4IA5c3&&pLpoke1O|A_Yg;Z@OS{(!Q7S((+~MV*7KK2p5E?r(qDJKVI$?(7vndX@Zftgn*$`q|?(?_pEc z@%2mAWG2BuG*cslV`T+lqufd_;AvvqiumeqHqypw_^?X2d)(DNc2c`|4-?x$`*NLJ z+e8nuRm*m_bb$rpn*r`)uh22d)BGztoQu2*y{B)adIHfGg;&5&8|1rv5JjCf9Lo*j z1DWThn8bQAyG$WIndjy+8=xll3ZlRhTwKbG5{#_}YtrX^#aUJbz{}pzH%Ea$=O7qAwgM6PAN=|$1?Ya(a(*C- z9!!uqZD3`&&uO3Jx?gjiX#aV1ux}0Y@*oiuXxOX5%Tj5rtYsi0TLiLxdlY$Wi3}n< zpTu1W0msIUjfIyvLt+WB_%r7lDJ0esQ;3u>p>&y~t~B`WNfY~oLe4wpM9(oCo)}b_ z6?7&SrRLD?fyu?}?_Y~s8 zInpcF6@Es6rQ3;NV}dD4^t4gx$=(B{lv)yLft;)7uXN0Z%oPm|6NAv_<-)FxQow2! z)?yaqPtTKbAqP>fqL{Ux@j27nZprEPHRH-pZuD8(L`%jczP; z;=mv;M2^wzQ=A*Knttz9ehWe4HZB?3_`}fcYF^%uWq&g;;g7Kz%)y#Y%<#yd_U^$ z2fJT_Qv;?qGNFh~`H4BH5@QHYc(kQlBhe^(Fe6qnEY7Q@Ngf2fc(ZuWo7du}O>x={ z<=m~LlyA;5{E5X>f$D=R;wfRaA|Z z7}6+^$PB`Ukash2AO;s=4MnX(A($X7fJWiVAS^;5^Wue^Ga`GEl4CVIdC;;$n;&$A zmf!PJ%+}B^K063Zw}jsObd(u`(@a345HvKe9fMh%+kDd;GhI%pJeQj;CorNP4+d&A zXOl_pP7o>1lz&SES=$_kZpx7)^)zeiy`W?DP6(mt#&=QH>Ui3}YP%yo=UbJ6#jU~O z)RDxbLW#XY*IFt+CDxC;gk8=a6gw&dtpH}+DElWa>@U|b7kTi}Sv%v|lRq^E5U)^5 zsco}_0q@vC;whO2f<3o{wuJP!ElrxXjTz2AS;<0H>Ub*;;D$v$fFEnZUnx+eEEHt8 zN=Udz5*tk0YPZg(N?P)NYxp?sF*kR1zXQT8+Zs9s+7S7j0&`)$Swh=auI3<0)j-v^ z_CsUXYA)3RrWD$)r%^l!ZaX{ff{6gRYYnsRM}Rw%jJWhq3-?4Al6n%+;@;o#5)`+803A-zN212X{X34NH0-{{Vc;_3*|T3 z!X7`Fw+>y_BwLRQdE!wCe2McIZ@7&*j+x(ItR9_SHKwvBN|3hD`19L6_jD|J3Jl1M-q0P50iC2=)PHE=)L(ZuBHY7(Y?FI;2v?x1SM#;mg7fsOrc8GPA(-Bi zdmp2Gr{C@p#Ty&3-O*B4)8T9gVK-1N$Do4nVwQ#$1Bh3)x-P@|B%R`hN zd$W1f(&-4n>mxQ)bTo*;JqgzmR66@=1O>$pFkeiBqg^4@@SJrTzN>w_UwG*lkCc!`GC zRAd2`2$o~Zb6d>r1K+n|&;#H`=mHyDx#~bHV@ij#<1_;zheYywTE7X5E@v_A1VDM zyNh9X#>E~eK=Y%V_G%Bo)z_osFDFk{G-_#a;7WC2G&=RzzN^e@787yQW#H!8dB-1Y zvVs%v^1__w2Q=x19nl>(>KuJ_6LuMP)~X#DQue|`vk+~wq{+FIkPlmjv+L=*QPFP+>P0idd+epr4(7gcQs-a0z3{o!ALJ9=Q=tjX=<`1 zj#UL&n-LxF4;YLD42I=DVQ6XqT7$LZPlgH#2>=HP1s0j!o(cdu|0dY~y$R&xRV2kU z|AMR=BZLs}4oUQ*qL6O$6+%-Uq(L~6BpkVwj84jDra1_d6(>$if-Yff;wlpifyCU@ z^!uN@`amQVAnss!R=WDqc>&hCj#uwk=}{WxVcmx7v^|U@{kXctu5y&eGkHvt z6M7Kl(a0ovc3)MEc_=GR3-dw=2@X;FmbGxT8eNS|F)ymXPMuG=iR-ka1I}-ySu-BM zz*wS$AzHy-9EIN%hVEnj;-I|Lr>X>i|Kv>$r~%*peVW=|jzCOOMpQ=hjTPVjrxoXr zCsZd?e{;$0$k?LbU~u?L%#u?~4$w{^_skEH3o$gUJ(-vtzz{ZH!vxYJUy5Gr@##f6(8nt*>FToCv_t^d?f8H<13}@_wzesKy`e&L^fD;O@{w zFY0C@FzyiPt#-Zrq*<6r3W!!>dXZK>ZH+xR(m(m}(Xar$W|~d&%cxCnrv**-3+KKY_ZUy#=}#uNWC$jfX+a{^J?mJk zFRggjn2=%1Z-Mkmv|u7tC!CHhrY6+(Lz{6j=&H0nIqpuUgm|CF%ToCM-l zBVii_KHTQ7wWhP-bSnek`|&0N^lvaA{HObuHMBD{H+A|mb5(t|S2o4+MX+6L;Mu}5 zF4(Wlhg$z!{!VBKXju#&7oVtqyKB|*nTdPF*=q9GfCOekVXh=T%}?MHQ@|Buf4mKq zqNKz-rmM}%Nrl{tQ_TiS7$+rf9)hNS8!1T6s}rs%EWQ7Up47Th@sjZ$*#n5*VXl=vQ-m3hSGOA}G{t(1w# z*zmME$6PtoTISj8qK*SQBd<&vtt{E28Jgx_A>`{_H3Gj*j)VaTRZbxbDtuErDG6lw zFwUJ~luk&@uU>=MM^mv-1969A<+N1vxh%p{(5 zjjXV`ya~mF#70_JS_-OUxCFcYP7`Y{Pf!hXh#7Q3$ z(%i!Fo)$WI|DLmOR};@{IGgp1xSVPyS)*j)puA?San6FzA_=d2kXW74vX^GHOnywh z`7F+*s0^npS$e!lM1pYqwKoNVPnQNGc=hJ?jNm>JdI1ZRD}iw=#ZKAflN5Xn%PAEX z?ShW%SmkhiOjN~iM*fiYZW+D&5f(V;9hy|sN3UdNpHDsHt{9Kmv(}JNZ5ng-c9!7r zq5GlDB@37wPWDWh*y!8?T}uJrWjtP@Wvn=Y$T5W9+m17`s`29&6?-^IYfVJHd5>z< z2rH!m1;v}^W)tVZI^LzoWg+!zwGB`&EGA0ae!o4Bg}cK$I*uibaS;vRj6T2K8;nz!q-+~(Ua z^=qVOPb1g;fqJ2JysZHuih@tvQa_B)NxC!Ovtzagka%aD#?%HP9RWQYha zwM)t6GgXjp`ePiN+v~qR-^-8u(7HRW+1*=Ak#)Gnc7Z$w~>qd5o7TU$| z?cVXLoc|n>C>Z_AFycuD%oLV7^xPtQ^j)2t)!cpsGx+5@0!2r=u7av-GY+YboLkM& z(Hxj1ggFJ@#LKVg=L<|-&v9{*RW_`lbvhzUKTNTsqzxEzOmT)&cvx*)!ql`{oL+~t z-A_|fub^CCSB+v`|M15s!cMZWrQW1#51fb(sho8`xsSUry9;elcaYG`c(1M=-MYHI z-|4SWa$tUY>8yl%T^{X%4>cd+y;wtn7Dx4YYSRh#+1{WuiLYe)r1RsnGWji9DD=Cr zcd^WShc)P=M?Fjwr{uT0=oEunLRNvGffH7fO#JsB$fdzh5h$Xy=!vF%;k;CJe<0@xp+*f5vCYH=M% z{M+lr72hVYUNB*#MYdPfx@*V#Nj~%z+CeK`%^v5s2wL-3W!q7=q>Z>9X<{~cgKu-m zJLwjS^i69@$d2@(v#+XFkQJ8$Cc$p4ayf7(TbJl6`Ind@)Pfz5;~_i;+v0RYul4Xh z+v#Pd?84TnxHXM9ewEFnygI?pBCt$L8(40nZsQNWQFb@SuvaKLh1~h+7&B~^;3*j$ zjhMVA{zs}JVaUX-4FLoM2KSaErFbK1DQn6J|GPSC2PkvAzKaWSZSZb!hq)pTKRb-R zfF&a#2N~hGzNz<#x7&E$K!j2@p$MM6j=iz1ULSK9ftWCeDT1Qyyo(D{Ds~o_yQ52+ z+%?oTCM+F?XOUQXv~FTpdQW*qY3YMWMMwpg?BzS@7aH_9H>E~nKvPVX3AeMEQ_!Q! zvTYLAldI`)H(@*!#8A|XJ$T_D!FtdFO9WWN-;o8i%Om;xPDz#y9%l*wpr!$ze^%mj zZ@_-9@H-z7RaX8B{C}$PhJVs##Xy_Cr1;{N0aE`&SI-bpT(U+=Np50VYSl$I3eF9n zJU{IwHLCux zZ0FO()+cFuXUAF$Au2t^sYk6Ur#p+h5A+XK2>gDC2U4!2knZ4+(9V z*04;i239Xho-18Kt08bFfp7PSt4oB=BEe0;TW;bRu2DCgyS?-H-Dv0Y+D};z zVB+oS$y>=Zz-PY~75cY=+J8Fie@v!}f%GZW2jku{`QOR36iyDqe>pYlzZ*SBw8+dC zNamcs(rLB-N~iCzOSO^#SXiNfWa&dehA5~evr?49ND#sa6sS{H^DP&E;nC|>l@UrRQxTukYV0bt zb+yaYPCG8vwO4bzHZwV-6VknbHpkl@FWO)E4mZEO9t^*3+!OgZF)8;#`KO<@K68kX zo|2+hZg+G`xWOEAVSQo?YJPIlb-VJr}+QDAM_iIqhQj0~d9|tEdh^mV6Y<>N}h4#YS znnvsA&s+*03Ue*m{98h5_wDwK142OjHJ5Mc;XefPkhDX57tZr5CPj&ygp#?0z)?#D zUkv34q2=z?#Yc~>JSDZQp@ByQ{|sNm1)a*;M+QosMz{4X!lt%T`^1(C)~xztGNfpl zkpazTWR(Ol|I)%V$MQk}&^p#JG_2IF4jWzjdkRY%P`&-M9K9<0aO9iX4TsEIq16_L zRKEor=t0kxV|Ybz!;iUObU0wQ?5oTyA-BpXYTVQu7y_z90-R7-RoMOX$8r_LsBCyN z@3?xooDv~J4Lbb6H6*rDWR^?#BcrjZEBSCGD)bDbDA+L)y-%k%XA(dbh6~6RNSVp> zPt*_*#H0PSR4ALYmG`Dlk8LAe`k9N~pAZ<~sxLN?pxNBc7ZK53XFyy;xT()&VMWf} ze$kaa0j3oIVct8Q_qI2fLPCU*A%qdS_OJ_@D$I+=PIuBVwr`awFPIvG`UW$Yk1HE5 z=>L_D)4?(scT`ZA06%s`Weq~jfP8%2_GD?3CoQ5@2-~X~tQ;OM&{%UJArE#8w?|Af zaB#j%ZACHrvmY!ssV;tvYg7dBk2E;Z10h{$$VK!y0fon4~6u?r7X=OLr z!IsZD43@1@(Zv$MD`;oZsSD^i>+iunmNeE(=;OjY2$y~xva#Vh?}P)^H)^CqOM@tYz4EZFt+UXMw2iizo^tBaabm532P zOHiBwQIjUbG;NGiU0U9CR?(KuIbc)AHd|5kW&lB@zu}a`kb>i)U|HCcEgSZ0b5YrW zg#JhKvG1-Wj+&o*RaZ=B4L;94YW_%DI`8&qn(7j5T52>lQu^eE<%TDwQMVXzhZyL# zV=+TuJfg&Tl29;w?W7lz-jM#nz6%4YzC*LZM2rD-!BThDR&1V!+j)z!`9f=3)=Z(= zf7b%Xp>b`7Sk*5Nzvh>FCC1AZBQz1_`LpDg9LOhQcb+wLi-keHXTbXhLG zUcVRYb6p--P}Xi# zXx5U(QHv!YMmSquJ*O5nl*6AaJh@`fTtyS`Mv24oh78ivTtRQtY|!PkbK|ZwF=#fX zK%9X1D`+k6jAm&z=8PybqVVv!qfBDCV{{rXOND{Q41J$qjj~!JSjJMdm#jGok@!rL z%&Bp0^?i|@y?9_&#ImV-mD}^+9=n7hsrd>4*o829KeKa zC+BAW*sE|$C*^8+3J%q&y^9?d(A-_v3|?T`$l=OyFXL-LibP7Fsl5ViwO}h{xT+en zjMC>+;O|mOMz+qo_79;Uov=wJ20i@{Kf^yNwzAT7!r*lvniYZI+~K|qc71(dJe9?Z z5tJ269EtWnBk!FihzZ8l5?-C+me+cB99pcPfss~!?^KSzz@$0|g5SU$GhHJzNX^YK z<5(1f>tZwS_%#O7h4=HWCcgd2gU;aBjG;rG4=ofdU@lDl3%uO*WOGeM(b`d%!4OWO z@w`4;%ci6I5k|r?DCF0sHN})O^9;_`NT1Y#hT)4s(nvnfwAI)-$NY%=fMiu$pR{Kb$%PrrQhrkLO$r|&_JY-ClY^Xsf}N1@*rg~H2|}!3_lL6_FYA`D#XTNoxI|~& zdQ*i}JT0n%hlNiu3k|LE>(eLc)BH@+!uDZiZIpAPOViXUm2d`-!gFyb9D+p>gvstW zXWlzyntpk#($#2#l%~P2BMdeF&Oo;wo`N16vy3_m|CMIl;gL+&Fpy4Bs5T+AqDP|Q z;OqmZ7apQspa&EswgbO;jIzO@^8{SaV+yb{bPL`l`5tH@9F|x)_%pfh=)SoGEz|j; zTIo3XWwYa!mLy(dYf$wEVkKUVO~tJ!={t~OBj+~hnrT?q$Z@a`6Ca#R_MBz8J>-e1 zU7IBT8UpF*(j_`I>-R?#P9?rBxZ}#_jGOUV*1pAH`XOJ9*;`&kuf7QvD&ZM4NFcErqwD_nT??aPt{gE zH8AW|sY*LeMb|fIlx}Xa%xDB}EOKz&%yP%3Ep*Fy{;8c;+UR($@oQ^ zI_t(|w$-x?TetBh#hOIlUC7F)>h{Ss3i@?L^>j7$~_*~vYJyub;Si2DRtpY**^ist%Q0> zb4{onWQoB$TJSi20ki!=z}okyx-cW`B(}Q+ug^*3V#uuw9#kM zn$GgI`o!K4xJ7llQtf7bWZo>H3Y%4uuy7keNpzt9^`?@9boP$;tcFv^Ue^wC4;v#i z)RaXb!MBL-{oI82A^WLPox)@xyt1Nw!7YN!V0PBBT;?~TnAQZTQD7RZyqulrE|)5g z0RA*PbP>vX%kRZ3oGc4f6N;+>{-%RrbL;XYo}p+;h|m^ zJ+|8by{~!oBq~jLxXha8cyPZ;!%v-@V9(9A#`}ADkEpS){0p~(KU5c z-k=)2WK?fCxb)3I?ab9ZcHKvGraBEay%FRkE-nU-eo5%bx=3C|!?wnaR;Sm?& z8^(7^Fu~u$eNUy%8v;ad==$7;#HOq_PI@MlZmcd9s!F|?Uq9iLA*TCzmi5{j_X2K< zYh~xrjy>lBao}dw@Y*|KDTiXxobwrPnr{-F5otAY?{P*C@W$Z5vDEG(dPk%ChVj+y z{=Oz}XFPV!#QB&0K!@kAkK6{#EMEfryB}eSz9>E6fS$NQ9O6ngbeSh!Evx$@zSa#r z*f59Y>kOr)yGvNT3qm55fyqxRH`TDGUnz6Zg}%`z5QiOvi81C}5d7{X2swC#w~pt7 zm&qx&!41et$|dF)buhGx)ytG( zy!QRM|3P(Eq`TdyGe6sCM~=yZXNS>(_>SNnWA(?^WzEh&<0}TQ-TS4)j!EBJz?ee9 z8D_#7*XOj#NlF_Je$&%SZ0(Rqk73{L(K~59b^R;A^KKmd9FmNl+WoAi#>AgTXZxc5 zxU%J+3{MIM4+JDc`c_4O9FTkcQvpRpS>dnJiK?9RuWp1abpch!ZTPj5&s=`3H2De^ zHB&zS(Tq@(+0lQQ=D}jmzqyDj=vRaoTTO4hNTVs0;%uC@rFE#o3&&F==@c2u|2ar@ zSbKu?%Qw0SX==J|LYMcAkptKR>~b2561@!T^V>K-&XdbDAL^N1M2RzV@SC$#SE>uu zlF#RS#59T;tb=%I>7h@z^{33op@X2G@~SrAZhQkb^FsR(e^nLi7mdun0PyX+nP0xe zz@PQcKN8FT%%dOwsd)5f;q#w*5Zp?5Ndpr?iZc<*sEf$cx%!`gAJaX^OGOtl45Q$5 zx*v-~hfD3!xk{tpcoo_`iHw)Au(7byum$~)EzoH}al`t%5XjQ8IDePPstR}l;{o`p z-o*G;<>ap;>Hiz%KXzNF3z&bze6v9ItJ@+(1fEbOF+w^*Dp6W0QYrF|j|ircZ#pUI z-w)%Fu!&?y1m{@uV$OwBCqOr06kh15j##pIP_g;f4PZW_NS2Qcwa`9qVi{2N9&(JgQ) zEaRpmEXg(viLNbjYK`#}Q|YyVhROY4#4TZL`BKc#?^T1Rk0RF(<30RxO)q6Sp&wE=_-Mfk9Xd(S1oxs*0VXfqiyKTj>F*z^Lef;u*kHu})`wd@kep1ZwbsWDwud3R@7ig7xY!ZV!9G3`TZAVXz6R$y?@fC`<(kL`Wq?Z~k&}UH2X7GS0Pm4n zJ+cUWK}v}9JsuI7keCpaUx=UFJ7kT>I|dJ_L$HO+J9v%EyV4h5>(ur6_ZIrRVt9fG z=xA~TdCQ6XcUkgZ9KRP*R@VP@c%uKSspk)xZR1AedI7yH=1(waFhz_Ap*os~YDpLC2fMd0%gJ4@il`u{bp| zFq!GunWGLN~IW0iP1vR;wM%RA#Z@7dvuJYSiYki_}pg>k&B85 z*Fn_5>Mr4JdKue_Dv<8+1O^a$|7N?`e>V>OjaU&;HA!Jn zePtCP6;i5=|;llRBndbIBp(0Hh_3-Y_Ng~te*0W`t###Y3;nfuzn@EOeDLXWsM2o zMW}lx_Z}BEpnQ)=l>Saw2e#i-d}-X|#u*Kjio`}f+K#8RRc3)NlL!-x((=iCIJL~I z$M<04c~N5*GHq9_2UuEzbfti~I-~8bFZ=gZkh4uXm0SR_DZuBS5AFWhtNq3BdGxDJv+}d*g8s-it0{>IsA|_+IlH2$uuv5HUz)jI{96&P6Yt`-kSvckDVbbFDE7`uK!1D`*ZDM zg{*r7k%Bj|tSc%Pv}p<2Je@uUMwg!nePs*ZqONe$MtKh+yAyjeMYt84Ajb<0)BBF_ zg3sNVoA4D#=5i-r2#eCgHO$tie*!J|p?1^J4EBou?#Iw zT2lBB4VCgo4<2`|UvD@Yf)-cD3K`aR2pk?PAwhXR_3tsWO_}+&?I7IDq6^#{{7h}V z|LvgtB6|g908DYcxmEtVhW(mwh0B*$}!SFfc=vs@>1vv+EVn>#`~9wwAeR0p-G>)GQbeyiaW2 zm!J=OluGjX1(edcPotfZauc7=?{oD#k>5uYrc*9bQP)p0Fv!oiX>nV*wiewVhbGFc z&?jr+5nfX%DdyZNFt6C@HcAy&P7Ee+TNMu;Chd)jt0be)P+>bwaIfE}9ky+7w@Wxz z1Lv7MywZdMBi>RKnSexl_8ijeDTynLVMVM-%y|?B;*sxCQ7!W7_lL`Qo>8My=;oe& zT14PQ2Ok?ds=G&2r9;UZ`{^Mira)Hsj^%0l)`C+`!(;&RtSpVt@qpcx*~XqB88rCV zU@eElTfj2p^9FP)oy2<#rr8?FR=QF*9X?*uRC084cs~B@W0EhhgEmZwl{B~M)E^c$ zx*{Js2y*HolWC?Ar73TgBWNH!-Mg#8APtQc^vBd_nk@~w8HW6SlAHY~I(y3DTCQCu zr3#x*zjUon;Hxjtshgu&lfg?1UN}o6C)p}9lWO^J=XO$FP~hsNgy*F8!q4p%hQ{7mipM~M&|2zV5)VErNQ*ZCyH_<{Gl~QcSYvli%+O{+%nuc9OSQo z36^ahj#-Oig|C!F}{5rw{dyYgj7Or^1e!WZ=gy-WayDLf4%WK)WO_ z=(|lEi`Sch964p=JcdLhLJasqx(S3xj2I{$C*Ey5w7UhpCwYl>&FT)}fx#Q@%WPgP1e_O%nAkkVpKch_gUY6KJ&|Vs}C= zkijA7rVt&DkHU}#KD!*hgvUdaC36Kp%{@ZA6<_}QT>WqM|DUbuf5jNP-!bMt&ei`t z#yo7r0s1C>cZU2^oH1l|y{h_$AOkN*i;dkBa3dX=puEoPtb~m%GIe|6A7u`DVrBqA zn_^-BXwjZI6C?n1Xlq2Fjvavf4l1tP8RFT1UElX+Q28II|8$dbqJQQKf1&;(vc7@Z z-Tb2fhy1;}z#`H=p;iQ-j`>#QOZ00OgqN@Rl@i~)$;1Cp7k|jkKir@0 zpJIMc0f(x-@D^C}8gx7#x6uQOS|I^OJXzyUJuf>GYupWasi-;_){~Sy$=CR0P=7U6 z9tcnj?m`Y+t*~J)9Z70WQZ?-?`&zG%e9rxzj9(v&fa6nt*VvxeN;BQYxkY-Z>i8%5 z?_?kBkYFL`45scz^21?uwQSn2b4YmOB=(EM4;ip%g$1yTstuQ>d52Eo-aJN>v%DD`f2$) zX*U46N2fw^zwCLa-X&1yutO`gfAPV*l<+ZWY-g9T-mb__E>iy_@oBpmQvGgyOE}w} zw__dEqWEAzek=!t2*SSw17dmu{=4*IEZ2|TY%uQQ$6&5GaWs)7=}=)~8%`Us@GzEE zvyjr+fFZ4Pf)FvwpHg0?+^AY;hAjA2!dfGpX0iuORau*JjV|o-xt8Re$FSNb^%-EBI`0HwaeZz=kzR5_dMZ9-nyQ*ewca*DPCZowhP&y&9W9T2Y3nO%dzF z!`xyElsTy0Ck)5B$=a!KHlHlG>kFiQO7H*dz?j^^zDlXGAKF?s7O8_FOdZ^zZo`%h z3PD7F659CvgAR?6Y7tL(1tg3!tOMfmZ5j>5^cqr-w_G;2fxW1wb_)sp+)uDBnB`6Q zFnWkFeQ@a~PDBOSYRfjhbT%fmEQ^lgCBCD*`e^Mq9)-zL3l=#>k<8_Xw7q#QPUT~x zHjV?Kw|&4kQzE?dbwLYkHwdnJCx~a|ZLDXbE65v4eqx#MxZX`<885N@J|v0;1Bh+i z9}^w$-v3`>`-{U0G5wz;?pK5T@dpwoW@7knJ%%C4*CkP$PfS;C`vzZ(­%uapx7 z*V%q(T#M;!j4A6(8&@_42ZsZ=E=yJ(#|028}Tc?HW=|r z5Lan_PkaVh`c&xG-cqxPZJY`CK0g4T|7+&@%g6QWOUp>}06bh6o+4mfNjp0`U^|!y z47Tl~y^>H~SXhck(1TwF+CW^$4UG(3yyTy9){Q3A5jVVvw~8n2 zxXwaw#S)WnJE1pHr<#@0k@DP@$SU}V$(keU1xH6{tVb58GHE&?xoBK*WD3mPwi1@- z9_R4gyuu{M=!DPd5UIw@HVjj1;EN;NmQW2w)h~H{Yr^4eGT@v3*}MeM-v4yIqQ4&j z(MZd@l=i1D2??me4QSeJ96}+2RBc(NWH~ac_(aTms~QfU;7~ska^E~HUtd4Hfha#) zMSv^Zy_2NugVKj-7!b?N)z2r|cC|ZaAo>`;16CB^RZ%5h@e#6tVkDM2!qu%WJBi<< zoz%R_EWoNX`{*mQZ__8X#iBR~6@U_z64;?OQnF$|e#j7HGFIE)W^j=}1poAs#{=Kr zJiNScdwJ6L@2C2o0`ot3QCUFjrHKjY3aR{4ts|rWA`F6X(c`CV;`*_=%Qu6Vq!8Vv z*#Zty;O}0jWk$!#v&Me*GK19;-THdnm!o|Hofm z2ZyU{rHXdAy$%o2Zud9h!3Kq*a*I8NIdWADL8Y&?%!=GcWn;bcX4|{3q)}1UN$mwT zo%}Hf|LMy6CkU^X`BjXNkQMu%Z}k7+%KPt-+2vJfm*aE(w`+}CRw&OWm&y1)Ugs_F zOqu^aX_^PjKtU!>m`NkLaeKvC+~mp1y17LP~8 zGUdrV@ykuLy@eq2DkS~mP=wXuHDM%CNRTA%Y_(5-JWveYIqHuO^@^E%|G~u9a&P@c zNt!zi;V7nYu8WkxASX<)F*TB%DWEPxWQ*B8{>;eNGr)x0hu0Ss2&8@h2U!f@;@GgQiD&S7@*x?B%Ujh}=I%<)hk zzHUv`M4r=Z?BR3y>%^()YMx`{Z<%&Z9?flv=%qH)i3Cx#Rr=WUdu-W6-Y%>{Z#8!$ z4ywVyCzayhPSs-mJU)F@@}@;GV0Qrl%=}{q_zTu%5|#nHk^Wr||JTJ?g=gvhEJe3M z%?$)aOpG6bQTt(Zj30s+7v`V_y^71{1Uf2&GIQ`i8Au7~lJ;+i@ zC*{(E9?yp`R}OZk^H-0(36-vqee!caRd)$|alP zAKYHZ1IOBAYi-vAj3+9=r~IxF8owv#*G-2xwG-9Pt!Db#dM18AUt zRD1VFF!vW^{d-gcU>?7ND?9Mgn0VBr1|T%53gAumJ%su|1FjVRzu)5AxCHJFBeNZV+tvAt7`B;leh&J6C7WovAVHpk) zrd1Pzs>r9Fv_V-6StLNaCC~}K8iL$QHrS-7Rz2xR944BK4+1I{H^iiJ|6SgHbH(TW zxUAkHX;Hi#%TOI>E}fBnx5;eemuN2Ih?|rH$gDoV=ii&uzl(aWWePATkp0c<9jA6R zCSC{yChF{mWm}Bt?+;*(djBS7DHTeOezHp#G69C zM3&wSPvU#yS*Q=r-VSl#S2m95_~~hec%PhUkWHFd&W~$sMt(Ca>FHbR8}CLU3`Q2l z2k$FAQ2j5M6qy^k(UHzQ?2MDY(*IY_cw8?3=9nhQ3>#&~HHDAr_V^w*coP z5+T!$XB^^k(Y*?#l~vy_idAC??-1u8-CZk!eViG%`;it=@9Hy}j_Phk@{pC0{r(zyw0sYI6TO; z0@g!BUQX3L_x{R3`kY1wAMG*~)0oOeE>w|z#>mSw6rmpDKv;dSJUDb05B3&O4~5XS zb?0ZQNpt?iVK6iHYzU!m% zaI9vR4~o2_%+R74nsst2SDm-`wm413M$%;?d41W$Fl6t!GYi?$L8fQtHE42s#71K- zW)o35B>Xw+IPdG{CXS4RDZD-&3ekvbX{TLJuM=rMHwD}i5dRMI;ZwtA?+%s5vcc3V zNWPmo4Q8%$iVQ>3Z@#o)>omI#KIq+!s^-X1E=85+sH-^d&^$duzk03K1~l9+v&Af2 z$+jDF=6H*qa3-`*@`neL+G3ecwSZ@XS&>-z&4-M%;&i6lpxd%72rWQgBVKoabo!kj zoYHd(azmw)@V?C;Yks3kjO#~-7}}!p@ z>|+wLz0%Nme!{bMe*&@=bV9V&bAr5f0}j%JHi6JmdYWQ*$*oY6rS3|%I5 z(8gjZrbs!{3z^-1&rrv&ME*W1uw*@N!}`lVXE|4xpr1M=q0Tc|(X*uON#|32O^ zikSzr0RaC*1ycC>PWc1^*UC&-u(2wxm^B)W;xYk63l^3l1gyl9mJT2T^s`IRWAl^?BU4qG}{~HRZbvm7|N#)tay;R1t4@VKh;iIp@a)qS$cNurD`>~ff&F;kB4|1 z55SHKc}}`)`til4E@D^m)qg2r(>*OMp+Pinh3_+^Y5lv;Gf0A$5Uaq+x<>Nc5;{NIZNz zBwE5m2s{Fu-(~<0*}8Bo0uKUj#yTwz-N)C5gk!-z1L}a*vi8T;@|VW_>#+X2Y7@mE z3s4M1o{14s`5}bmZGiee3P>1*OMtM)AR@)H*n(ToR9bZHzl-n%u_5mi1X74IW|nh1 zXSvJJJ;r;Jmjt?lNJ}+eT&~u ztxmGG$H4LKce!pC8GIfU>}^hHUwd)MZbO4(0q5iA`rJ4qu;q}hcE;PePco!o1gRA;#E8lxvs`oCr_0RlyQe?lvg}N$XTp=w zu+>K(ObItKYP`zq9~K8^{k5{RKgmB7MN+5A#P^tfms}rHKE8^J^xj&mq1_6W4;`AP zX(ICNw9TyL41|bV&z#s3ql)SA89Nf=QiRyNiCFSOq>&(+H0W!82S1d|;h~@4@-$HQgV{zVM^Hmr=a=AEdI+$ z{MU?BJ3``x#)^1zWwDE@m0W;cpbDEI8%%y9u{it}8XpfpLRa~Ay+3{8vUK!@ z`T|xNgOrn|BzipbE#ttALVC8ak%yK0mlh|SY5vdpnVTwS>ZB&kuvJj@fveN2Tn74h zo!$C+v_j6&r0;2CJ;>GtReXRj-1x4I8x~?YNgOX~JCF@TiZQFD>1b%PG(^iO6c2501UqI9kE5{?M$3+SOS7JVP=1+JNMBPwRtFG5edEyz!p#f{b>e!zwABN{bneFY?FhlIn7a4FeujcVYKO8XVPymFXP)V}-~T(~IW#vu`J)!saEYJJgl! z5;*6hbTi!?q~*ngVzRDA;}$5(DSl|LMQm7wxbN}Z>GIsX3Rx&QGqUY~$O!x~GJi>w z7bN`~Lyyh=R;LNkX4%jeY?^XQ^=QCSR=6wz%5(wAoUfcHRMXp(TjZW3X$aZb2^SO=69aR)~0+a3PVS zJ)LAbtfv%r;Wt{^T>);*4`46pI|g&FrwBNpmOtL+|J+3Lztz>>J?X_j^^c4lF$CAf zj}kDVGO1fG!0nUn3SJ0!*d^^a4-*Jrk;2}%!|edgk-?DU+v1~LORT-laSw7U5)m?N zJK=CYWlZ@8vgqvt!cqlF`x+fvTu%4-d3J(pHD0zc;&4L+$b{gF?nWi*5iosKCZXo@ z2wop8Un3JUo!}}6VMR%yOJDkLVp{WKa)g7=yg%$AsxrZ^T~wDw?665<=!<8ic*=|C zvOGcTt438n>@jnOHt;PdEj+KbIcHur1-*(6Qm6WDFSnZVkGcDoun4`3RsgoLmwjZv za@g@#+vz@K!mqU}r$leN@5j;Jfu4l=3h5t65L}eEVmcQUGm{WxzY20A-)*ff(_UwG zH{d;NmU4Uhct@JeyBJU2v%@=Q+#oO9t^p=t&=hh_j}~(I!TyNrT+Mpr{Pv@soTNi% zZ@90vo~7?iM@k7{xh!TiyzE^^`60alZBBjV=UC?836Zis;Vk#WBFE))(Z4u*oJf{n!z$gVt$iK%~ zuYr4fpYfMN$!IqTO|_A-#XchE4QdE=9}QTOx{*9(`4Vn*bzJ*O#^HU8mZ$+o(D}#g z{EPYzkWPP>)k#hJaejcuq;k}EQ+006ys7Hai%err8!th|mn+6!0(dT8S|bOfqcxnL zFAd)74PV?kM=}FX0GrqI^O3Q0?CQv}ZVON*5MEdmav59N;0SmhJ%jAp?&M8^2VQvW zx3L%jgn$Zde^j7LeGfG39G8cV6WPGNU1|Q!=TG9PGC+dR1%-VsoMAncUfd~Ut{&hJ z1*f);LXuz^ht>0OtD=SPuP9&|P`2o}MRAmB+TU`jl2d4Hf*4I_e{W^VcNc!I(CU_O zNi%)n5juV1|9*kvsv0UKE)n$OhaWM~XVOv%3TX1Yy7PGjlzLQQ&ArV=?#)C(h33Gl zmHpE-IA+sVXAWFaG6Q##_WtVTaTgP$wUbGlnfpkt&0viI1-aV06U9G$)MV*KDeZFo zu8B?2xuD|>!F)dE(;OMPXI*+soPki<4-}cRwQ56g&aO4`b1uTsNGgpS|2z^${ibs_ zOzEMj;ZP0b&O5|e=K^lIM}Coe7mkWkiXy$mCx`4#FFAugo(W&e-;lLg+D7|b5J=^# z92{-dbduB#qdl0mQAyTx^8OC&mdpj(xzPpdIouu8xyl7C)8R4aIqZeZ7S9FmInW*2 zx#b1UR>O|_;Pn`M5?(eQ1D`0LzE`MM_X0om;K&$V68xCV7SV;&mfFtrp!KMA@^bDo z#__~5-qS0X>^+a31p}m1KIorV)4%H+uR8SW^z>I^$wV-`Vwh*&(CmWZbTYk>Oj2TI zJ>R()FtLt6>JaF6uhni{5Tp;~+)L+a@MZRJvdU40aaCbH=SzGlZ8~&(L5^bP#Z4@M zCAz)e)#k5HB|_ywF&W#F{I$_o9bOXaEf5GaW}{NB1C6pLvXKxg#-NKKQ-!``R;uz4 zRemOUh&~gBm$RAioL(v&%>jMaVARCK!ihmAZGDMROX=$nVkU9n;47f2%>h3DH~P-& z_kOKvzsuPKpe4&Ad|Ks*lR*J`k7C>AnXm9AQ&m#@qok=wlR18b2w!J~5|UYKHd5xQH>-5hqXtf^MMYs4@W>K2UO3p~WQOxUp<$ zm?YlqcNSELag(jwvEu!@AOy=2d^~zwnxQO2qo>uaSnUbziS|eEou`ud4S1|T&DaEU)koHAxy=dXi7@#E(&U@T8ubyN#d9b8*279L4q?n9J9CX$Dg;& zyq=rA-{`@@<7(k^8yVTls^mT7e5ZWY_WT-9_#lJdNicV`1C5S*fk>LnEyb7El|K@h z%=r@nn#}?Ko;{CRpp~XQDNaOXAlob6HOI{j4}VbeHVO$>(cegO)B0nN-)MN|(i|g2 zWxW-T#V#S_S|>Y?ze)p&NqCI^+z+qXc4IsdX4a`p3rN{7-yZFQ>5+`i9TgQ)sQkaB zYNi(Lfcl2$v=hPT74$K&(C9k4Y@AeYVF&f3SmQKLaND{Rx^A6lowu*%@|^cKAOG5R z^jOa7`62}G{1F1s0vPuf3GNFHHns91HNDY~Kd0S({XqR~w9c3Wf}b zfk;u0MpXk`7zh`3X!w<^@P_Vo;lriGWHzSjo=fmneqEse`p=bTNFnNFJR|l_2tDMe z9I44)&}XOy(&yXyFVnkZg+9{<-v(6r=aX$686u+jpVnT=SO9bQm&E6~woMoe#40Kq zu^|TXHB(QV$5X4xJoWkmrow91#~9vh2l_|Dh880`T)R0093^ zPuuR^kIS60zO>;Q^aVF&Cb+(T0rxLV@Za<9FP&LH=r=BsiI9K-s7?S9*yXBTe+qGh zIfwDq<_%YUysD-I1Y}a@>m*b1I~q(=(e;f=%TmTRbSnnPTarM97e`J|N`^APk<$!b zE_*91?uv3WClHW@R~WPw9cu024t2>vbP4}1e@Xg4jTc0d(P4pDmI>A5E$U{yG*9@4 z=tan7rWm(|00(pfqR+)MCYxTo*I%tY(z7UHAH1e2@yDl{%5RHAhi-}ugDK60Bfjyc z*Xi5F)*0ER)*0GPdxR}nA9=s_6)#KUuns^_NdFj=zo>D5!HlBnKX>t!2m)aA2ebEr zqlHFvE8KqZf>iq8-_yfc1N_3H_~55syh?>*@eXR+ev$S^_vao!fX)>5kju9>aC~fJS7;R2=oUz%)?PH;(VS5K{Cm*{rpgJqhXq0aN$x&qBP=i`womWfjwzc;UA&vNFokb_`3CrncvKkj+ zTF78@S(UA2g*dBR#@4&fOYqT=bZji|Dmgkv4g)_zp;_6644@nagMjm&XFkT*xjvTjJ!$>0+2K=S2 zy|UUqIWh6z$|C|kYIith9Ct4C{Jm=Y5bw0aE>EsQGv>gYY80D};b^ui?H$#}Ej z<&TV{1f2e$GRI3?+B0vFnR+*P^dDrvONq7uv$!rbs4<7Ao2(p548z?kz#W9-JrfsJ z25Ak=^Bu}wK)HI1&$h|QehPuh*ze~OmT`3q!Ef<1!7T726@^jtK$;}91g#ORa|Sx6 zN4YvtFKBI1U&N5#+DN^K2aY4Z2Z*P*2eN0_4eMR-4cr~=DbI!H+S{F=&dAZokc`X> zBt9WNGOHY}PP$RL5Z$bX&Yqp=P5_$-SHaD;;0YQM6KnU8 zm+o-Wb>mVb*(XSFT1tQ0wD@cBu23AKFO`+`!r%I52jP7?99F~P!zkOrHnl5+^VDj1 zy7!b30$Y0Z%Qw8poj~Uh>d&c%pp((uljHiwTKIR~@6v2{BV}2?$A!|bI@)-z@lka@ z{S+<>^~2`jSC_bgmcW-DbszZS7o($!?W`s94Y`vMhe zJFP!=0xBWx|I1}n0<3hG{>S+=F7rY=-k!K-q9|lS6x=|fXF{{l(u|W57e}6$JBmT^ z!n}xqQ(p#t+c<Z{uLou=Ha%#Zl-%UKGu|Xw2VL*`cQO7!5eL!~2 zC(1~{`TR3aXr{2M+EGDd=@qu-y6J6FgN_dX`6z_^B{?)sAkhn>^N8X=D9$C)L zN8@eK4g^@|ff6!zH?VMxJ z_ZG>s%R|N+XyIeVe9OyWoZa*)umcXl_m7IoUpn*)U-++Cii*sCnHvhV_pgT8)F~kp zpmU1DouEZTO(!EQ#@=2ijBO16bhW_+Y?X#>?P(7XnNg9MPSh&z&7E57zE&(pyT_NQ zWakjow}I4>hA5J}Qk+!e>EtM_Glkq&pbV2G@Ih)n8zoWOs8vP1k(EzF^(;_Zlc4g@8(IWtWyoEqK+YfzN_W0+0fPaCh|BD*Q`~$}> zOrABW%qEDKN94`vg)wBnia!)mpeTqRu4=serUEmO^3jp=F~`F3r587lGy}anL6X0O z7yJkEU4^d{JOfe)198J9Y4;Y9*ae8{S#{7@AvcmuhU9XX0>{`V+d;)r{7G}O5^2VS z!&ij;Mk+i&Z9C{%hwPP}*6VfHo?XaO$;^T(U{ z7xJhiFCrlIkI}_({I^I?A%-?fvC>@jgUSeXl!~|*2{K8rkwv8_3&3w~ehC7n^ja>I zhIPBw&pZ>!^t#J<8R6yB4Gv5}or+VYcS~$EO0LY^hMTha7HHFGDjy#>$;6z$TpBMe4{AmH)MV%7rwf&gdr^xbz+YcHnTMK zvmOpkN7zzC$g-9h^^@9JyEIS&Xi>Ft@mu{d@$^{b8z{kn|PButyfuDF&AUhfYhhn=MHOt|o zA3&>1rAEbn$;-z$mTogQ6_)^a8QMN;hcAOS@`%u^@aF1}@gA9&IB&mwv~iXbrZG<} z$W&lT9<&y-MZ)$o#q8q`F78e*!Zj~^Oqh@L<0@O<12qMgrXm=2T-d;7_ss1A98ZqH$U!Z71(5r zaY7Gyk*BrRI8qi$6n)>DN!ZCWEzItQ;w#HFa^plj`)pL7VBtQ?0&Xl{0)aXXU-+hJf~IK+i@aaTk9K&uCzSjjA>6BuO`~uQ8@D0#UoLAk z<*kzFh_9@JZq|TR9+UgKB{H^p`s8m+@-CHnYMwMhI`!+=_d^R+H$OaCU~uKwrg8Ko z)XPfk85i(X$ilh_NXbhqLVHvTPz@HH0MtyIvn59uYrZu zW1MsM8<2Cc8{~77y1oanC4;3v=OpJvvttre>H5^Q<^+KLkm^i~ULG`c0n=z%jEF z09+Nsp}-VHs|nug*wgzM{u4$K0&a&6n|7%kg|m8KP)Wbb&HFL^~29+=Z#U= zBo^UfMRJW`s0d>7xP)<0ORG!QORucd@PZlzLR27a(F0T54M9Rs z?(9H}F$=l-_KVE)@KlXF;STs3sVR9jv^EZ~t289IsL9AQ)kviYXeWWG%sRP*NSu{O zQeXC6U`G9RMS7*yPVj@aL5anP!`F*DUCoajd=k-_!|MlbarS(1k|PD5tIb7{`Prl} zvcp3OrF4Z=Y=>6r*8vMy5zr3{x{{D-NgQ>1B-r6@nH?-jpAB}!i zfk}Q%9!*&~RUn^q=wX*bcCytM)FTn0G6g8%qRE|&q-93|7oBSzpS#3>H>6va)g-3{ ze}<4_ze`2H?B|9mRjq+WzIOT9Xa^I9G-PHC#=4#4J!)3wL%|-n5Mdm+4RZAn1Z}bL z;dM!D;uCLL0pOc2{xL27LeYQEK855|{&788{v+^(_^w%Jk$!27-~k~dWtD$Z^P%nM zFL&|F>~mdE#CP$Yad}|y#q3F6Hc5|vFp=#=N{C9mX4F@*mavii;qAn^;C%T)4vvP^ z$_-Yaze;~bnh|#k6Pu)n7UC2S6E_zqC-RnRbRz0V5%h?8R<9xgtLHN811WKP4W35c zbe>(|5$YuoT0SxIvvLk*e!n?$voDUQJc-#5TXzf@&J>9p7q`Xq(7gNUYeeKu#B^%` z(IEa~F8uAHyl#y6XF@P<1>n`-EALGOQ&@*?`BPX&)7+rEz~{^7Re-G3`FGo6GP)vtJdVYC*CuDxr`m- zrZJ?*HC4H~${|gZ`cYv!uSH8W7q!{iWWGx!TlSeIxr(S1Jy0O1GGJlAV`AM-L_sA% zlc(r$#xgk%t|bRZGq1@2q{aZGWBSofV3lcu_U=%In1iN-sFghc~W83Zkxa38o( zh6u8!@o?@$ISKu;$9(sP(pjJQofe#W%Z43tC9lXk!JcldHCvUOg>T0CP9BAUyUwAQ zQ`Kn+XA`z_kyUsfY&lx!A{ua}pRcaL3pf*WYGQ9AQ<>j|k9%7y`_U~BdgDDsq$=lv zY4}rm*qcIn(Kf=gAb3HRLbUj*1x&9vuEM$~xj-+WE%`VHT(1K;uOz zX>(k4K8ZeI@F4Vn%7APM;)C$^=Y#a#YQaf2X~Fh>g$IS+f{Ex3eSaS0D>eo);qe%Zfhta^ed<)2UnEN(Y%6#Eb22;?vMY z`7e!BMB}O`rjI(|f}kiUe%R5CLsetcQqdZ)dE_ZNZBC_osg&^Gt3)qE0_*?fcKmlc zfKikbVAO&lQo26QpB3eW;Q)?ZFujsj;!h6RMLW??15Of(6he&StPP|72JlXUzG5118{Ct@PnV6;>Z-8|She-vPDKU#{C3#;6pch#hy1$&tnfF1T)s zHfw&5y@@8;>zqNCLM4-OvvW46iZ;&Sh)BoWDSTXLP*G)1=?fcbxV=-xOG}vOfiZDl z^cMy~OdjtCaRjU0yNV=!v7<}x`fY)*~x;dVZS>4Atex$U;>Rtf3Drt(u!q% z9EzD3(*DsDgM5Agg0N~IQ5>=2av~Xu;8vc4(nUBJJ#^k*_~&9Q=UNgYJ*0LIj4{gx z2r82aV?DTY|F|;h-TPZE{{TVTuV+fDwO>N4M0$XS&D4npzer-Kx4WuNAv=+KwvRIe z7Fx_NP@|W8wM9&1(OTQ;-h|a^W3*5Z!b6K>fYnX{T`dHHrD&Jd=CETrV9vePVz%sy zn9`P-nQvDb^FojYrRf@%ysXs0)GV&{Z=tyMYo0D0PvReIg;Gr(+6}6F-uSF#PQvLt z&kxBqizMf?@MW^Kx#WrOw*9ojYWfoRN9|9XIPVJUKC7xxeS^?UwuRBk4>BTqOhn`T zi12MQHt%*-ERO{5ddrDyagn(Vq8T0}k-2XqY>0=7uzEtaKkmK}-9^shBXkdj_J{9n zT6ck&zTq39ZguW{YUR#o8RlB2gL7S2NhW*?o_6gTVdu8XV;4k@umqDF^Efzy2=3Y2 zx)g3wC*tDnyE?5Ncve>0;Mc6EtJlokI#-K)lY{7C{wb1y@@{$kZih+a{nOKHS+jmF zqsIg29xHz=YyauL{kPQnop@?t?n)+@&*@dnmN$}8lA3Nxq#s!iMcMdSbBA+Da($R7 zBsAtY)y8d1l_tJ!jJI{qD0jcJp9{%Wnha554YY5~G$~r!GU{+m=S+8Q+&{nJzK6fM zY1Wk;Pn!Ud%i!iXcJ;n(N<2PmUQV1|@;XKOba_*X!wSYGO{qaFNHiaTqr%elAX1_jmCiz#Z6KQ>vTEy7_U$>o^C; z>Ig5G5+b3zgGi3CwRdv_*T^j8+kp%K7MOt7Y|661$G8H2fZ8jjVNH^@vuE2og->aB zI{aE@4PPhicNyrqvh z#}ceAEuZxKBw6c(T8F?Ljr|tlm|8S5V{WOEmWj%SVlw9Y2J;)$=vzW>d3|`LysToG z`0(Ys9?ZAif(Z_mGMt+|Gr96-ru0!Ia}(2ll*k*`J9`VJ$~fD%(Eut+lOJ0YIEx5G zR%wycB*tHzCf<}eqo;R{E)~ zwL{uUYok%V_2YRkKgc|D#Sj@7uaQ~hDD2D@gO6y9nL+9Zghzs9N(ru*?7=o@%1lA> z=Vts9kpX^a9)HVb;?Y4?nvduHt!gx4a)$wZy2z$J9TBBU&RUcD?_7}b>qxjonzB_& z?;2=!1HIuIDF1szJ$7SrYhKI+8Xwy z`)HyOm2@FEO6XE&-Bl_Xnp1wyaBKd+jD#2d)!@6-s1K59+TCT^c^I&T4Ni-v=8Cqu zR7an5=lCQNQ=gVv&YGFy`|2@9M_pDVGV@}Q?h-^o;?0I~X!Mxk;QopCuw= z%DU@)Yjf@MCOLtANTFp_1*uJ;d21-ZlKxq#%5n>HwK(}`N3PqnG&g}47OpYGXWJng|u<@#Zm|11z!w_2r6y4OR%3R=88RAK0=QM*NGc7S9 z8XwpJy?oZ$)_ittL+qP^c*UIx#Y8HH_qhY++9i{@N{KA>TDb!n+Ecp~I*CP&vzY^; z+N`@3?-OYhS?y{Gb~m6BV)hch@^ehBx?v5#^7k3VAqB>ayOMVdJ9BAnuW&}Dex1r% zHU4ImuxE+2MDQvw<}4n2#JJ9UEk?MqruY{yS#g=O~0{E^jNS8gT`I5zE0 zw(XNN4~~YSH`c=)sjxOCxJS&b+OBm2Z?3mibwCbAI=n#M4R`2+aK{9R!JHg%H_pE~ z9_nz1wc&>EfwiH8&xbjA;%;09ymILXf;m~`_LzBtH`E~pd-4(Y3rlOy`H3xv2O)e0 zeJk1diA3OtBEgJ}&zJl$(Gl9hm11QXo;t)PhoB`{JiJBP$0U9}?IvG#UwUmZ@Nb6j zW0*X?$E&i95e)_ChuxYO9rH6e$_Az5;gGpFvHiutR9?~)lt}#o#PNnnsXQ&YZ}^P~%w^FEI?4DZTtT6t>TFIaE|_|-_&ja-4l%{~R)OG(VridbVbSsleJ+Jg&rAQ4?E9;r-CO+Xaw6u@pszVB984Z!H3(js zMDw^Uw)bUYT#E`9^f<(~wMLdBhELLMuF0U0l0k%Gqd1gx{>K^^EhlMxHwsdQoC=QX z+MQbhY}LqT?B?^P1oOJSf@_e}R6I(88NLIkcJh^z)p$8aF4FZS1Ig&%bHWzap2=hI zCqg{In(&J6H#{RBL=&x+ zT4KU-Lc|HoyxryJOUc|Conl^!kCTO(Tn(EVuC*HEiHcNEg#Nn;J5Y-#Q_xLX)_sBb z_>xJ7uAU?Gm%ixyvv58zg4r&dF=WDcSP82(#!SVhWfn;W^O=O0aREPULB#2bdd{A&=R*^z>Os+i_eGR1xp&K}PwA&G z1r03#2&4Q_{^$6=OUqxVI9+w#8QBQo+0t4f@h~7x)l?v~II4=jPGyWRB`{ZehLk`; zDO7MKFn2M9lw?*Jk(!Xxh|X%8-T+R>Vy5oKRXOZr3U3#?Zs}QCX7QO8ptO3zx|M`7LokA*^mHb> z?hkXs!I$l%JqG5C2;yIUUesa5(`Q=y+-HI=*8@qeNNB^t_K2|(+3QO~e}UiW(1&c= z$LgMhHZ&(MAQdyjUhPu8e5ga?M8-uA0+@4Cf8v}8ew`kV4x zzxtIKWVMd2?}Fc~MIQF&>P!HyEf;G$pOMm+<8Z=R`Z=0HHzr1@-Bt(aO0VrYHW(#DZ|(Do#yIH5DF(M=u|C%y2v8tdN6PRLkh-v zoiB3u>LNnUcM^$c9{;a6mcJSoEU|KrQ>T+Qjk+#Pk<*|J_K69 zfm_#HAyLY4CxFhIA7&5T=pNZ$=Qn`TA)Y(v(R>Y2n`u2X|CPas$xtq}CO+H0yCOiV zpWEVeY_1abPCqa@(=<6e89FZ{KDmdtUx3D39v=O}!J4M8SKYx{2#spj`_#1R+4q`h z)hgf9x%EshPqI*{aNcX6)}6j{QViGr6eonUi| zOb7=xM%S4W#!lJ^M9|zA94q(^Wz8atou=~>f?pUrQRga&DRS`;H2X6~mKX#L0plse zq8y@jYm$pZc;aNUQFW={p|0vZ)g;Kko)6UJN`+gQAdb4~Tgu6ffjxcIXW?((+DP2s z6jX0#!Cy+bM7Q!`^e}0~&FvbvDP^AW zJ&KZVWq4Q=Oz}%C1266Ed;3y(He{^qN$N$Cj~cn@WQmF+`4j;cH0%UQD17u6QdfFk6V~ag9mc_ch z=owyoIlCzmY2lfRSfg3YbFo9?E)GAnE022b9@9=1dM6^=-7H5tDf=T6QP}rT0btpv zJjM%)pYwwWZ7lICDUvqUO09$o^oRb%bHc~NQ1W*zGB{dIkD5lAmL#LB!0}+FX!dYB zkP1lrThwmG8Ec{}ZIJ_t6kO_`xuJ0UQCNU_c5UmcW3etNma-1_9=)@Fh}XnQT$PSe zUyW#M93u|hGi%|-DID1b`UVAdx%)D{ZQKOq-a=WD!F2IP#8a_;N@Z-f%6<;Pf1u#C ze`q2E_pJ5jLWaJ{o_lT>kG5Ht@({6h4&b@Jf`ZPBVAVWCr{I#j?mXvhMw5s&RSj$T zXvAkC1rG3KlG5&famSw1ndcK~{`hOxMF4*9%PN``5L2 zZ~E)<2}H@O7R&NKXr{=l-sd^AM_dSJYW1+OP3>Qz?|<%&-4pea5%P^P3;RNEx!TC= zoMLGPh85%g!;2Xv&QjG6;?NCL(f{M&hde>?CAA1BT!giNHClr5-6IJcTWQQB{}My+ zN-ReI_z5#6`XE<9N%e$_#|N5r!7O&g+vpR`NRirXLm(4oY9wPhVp!@`YN~xmt~g%( zU5Jjnf3!PXbQZ0ZSM9F-w{}PWs@+w*YIiamc3c4MZW=*Gvm%FO8tJO!M7atN6j?y3 zyh`z~O08Sff@alv;4$;~@|>Aep1I6d#n}FC^?=WnC{ZJ1c#&DY-La-K7N?esrL45* zS&rB7p={oz~XQG?QH+Y817cCu^ zV$55L~dW0*836a4>0yZe=VzqLEnMI~$%lxJ;VVrr?Z)toa0OXx|DDu2Q`N_A}D zI6eF*f4$KJTK#~o4~fQXgExAIH+wm9p6Bp8el=)GjO#nGOiv0C+e_O2A7$?tCF#0s z3wKp_*>;!hsxDhywr$(CZQHhO+qP}%t6ppGd(OT4JL`@+@>h<`Kbi5oPsEHlV@6L* zo|sn6<3{b_+r(v>>xA&f`{jA&w*je}oZ#()3~A1(ISz)J{3HYZ`B8!TJf)QE)aYa* z)^@aUJ(+7X>J+q=hq&*AGE#TxLN=z7!H^dYYz!pmeukcsLU zjP$mmK!lWP_tJQF5 zq$DN(nDx4h;~c!?ggV+|(;;#{L9w53m~9p*+U!%>(nTU=fa`_d@;s9~IoLu7Dl0-t z1yy3GstKv?Vk(#RUOcY?sqq_yA3S@I%?U@Et=MnkmM05|0sSXZOjAYr;}Xi=4$6{! z?kWxEx)RAz5pOxyQyOTCC?DL1!_rdT21@D|-f`4R?kpEmkpfg1C?=sQX#s4|#mkP@ zB^B0KwVWyjdId(xT#^t&K3-%^=V}iNf+e&lxIsK)X(U>|VqkRpiOM$&G#2)po-!1t zb~9nM1etLg?>3II2~cWr`WhW0In(@sSsb2jb?SzqaH_7|_}1~~nRu5+b2Nra|F7;3 z<;5(?H5{znct#U`=8aBdfTpgT;Dyi>ETIx%rlPp5UK)yc)rF9$sAr{WYf1h-skw2k z+DPdwx@72{O?gU_M6}D4AM#Y2AXlcu9nj3AVW5(JHS`r#;rt|< zVA&i~-N;oo28Z9YXknuf@TaQ-V8ZR=b0y|>`ywiQ;bY{rH*-YDZr~tjknYouMqBlA z!JxK*K60@%ExJc=zQCtF(9Do=!&9W|qhhDKG-fw4Xxr<{zAUiEV`twb0Qx>RWJTIY zRi!NLx*&p%zDA)f5cVz<-c(Pc05?@2hzkm&`2goIAK=pjX5cmWl z5HtY0aYlT_7$N>bAru6*j4Gm)*`GFtgQHlIgkwu(8WjWa4rraBx z38#2QjCg5OdTMqFPMD9avMm(@lO4P5<+fPKtc1&$tzbyuE9NOr4CTFM4q4=-?Vkc5 z>RJI;#Is_)iO+tu$zpdzj!e@Gr#oGO>P>v~70C!eO2c7*L2xAny51q#=9#(uoq{S! z*Z7~X)oO;Jtzx$6QykvebMFOnp3_pUh*9>n3!9x{IgsO;d&LL0{cNu}N4jEl_ey;~ zmj#wU;=$7qHo7Zxv0*un*1_u5;5tehKJ#bbIT5Au(y8v6&x5AErI0R}v;rxTqwO?D z7FK!U8ux(zZKlZFk{&Snh3PWD|0zTNUkDC?|03G{z9j$4pIPEBSL*uz-86HqZDwsQ zr!Qvgtxd;W@kd~~w|_Jb4tX_&G#4=~wfZI(DLF&4U$i$v^%6ad5WT69%m3!P3@DFp zy!icCPgm<3ncg>m-&w|GkY+f@-`S${bhiHd3=q%`2{>H$FEIK?$oW6ZcNo4t{f92f ze|c?dekm6Dgn7jNU4O*Ktp2G#20FjT<&Qo{P-}#SAWzlo?1Smfz@n#4&BU$nYmQZ= z55?#wp85Z}et>z-3RWo%?syeMo5aJTY_p49US=_zu@Nfrcqmw;d= zz8vGi=afNpo<*s?of>g|eE;4)GVpMrQT~?Gp-O6LE=Ml_MB8H~YUghO_L{F@T9>y? z9)xh=>07KOt zQX30&5pwZeYs@l*qFjmN5UA!_MAnJzX6TaTlRX%0@7G!nI^Vel^S$K$6jEZ3`ziWO z)A&SF&ADAZV1wiN=R{!xiXCgj>1eM?VP%eu-jKvoHt*oq!_4MwIwVeSrsFK9joSxT zN4c6{vAmO!7x?QHVV|}eAAY6J(<%()lNeL@W|8Qt3X%IjRr&Z+h1mOq`b`RX?m7Kf zeC8NO+(KIH7y!KN>?S|A#G-2&ruYASmki?sZENy@Fhe{9zX2n3t#L38%Yy~GlymLJ z<_BX4YB>lG{#WBf#4YgS47ESg@MIHep7`Y+t^$1X@!9Q8NIM~u>(4#D=7;qRP*9zl$3kCaO9*oaDGvB3=qrH z`c{iIq4en={vX~Rr!Yf-j7({)v%(z@g7z;Ny&;H2vf4|w6Qi%}m$w=dANP;go`6a` z;y!`e&D!-aQ0>{J4C4**>t>gyl;gA%vkIa4<#u$6ODkgMh!DqeM9J}6x=l+{&*Va^g%O)AYcFiqlJ*9rk~uV#`OgfeeM8@4Yti>z9}4u9n8IYne|ob zYg$){vCHRn(b{C>I}KR5#TG^n8WL5!KNW*rpTm4u#$;I>>9d{;&w47AC z*_;w@gqpk!dwNyqqiv$xDoH_kp|#RRmF2Dg4l~iW4)wPuu*pyZDR6tNjEm^#dV;Qp zu%#ok6FmgHeZasLC1H7a2kh?D+xzP)$exk{F=x$cMx@|&`7=qMFqug6eH(hMYBfC_ z{dRg2hN^;FQW?ZJHa9Ad%Vivmc$G^l7)Dh_w+yx8wgr4dGj~!f$(y`{J6cP(@TjC& zCo;5U$+1dR*-yBc=}O)`-UAyJ2o{gwLhxaRyWw9wB_$=zs;B}~sg*ywxo`LKH>8$} zx(?IqG#1i)T!&`YF$6e8q&eAP{TcFE&1lCmc}5R&`rTJ zuHGBkxf6Pdww`ckPPkM_a*o@XVCUdePhtz04p^7BySI?KvgvVU!5T zT$yF-gUX#5`NRnA=t?i-u<4{p`0+KwXa$D0AB7IkaliVli4MLkpbnxUib>Li^8xq_ zMNF{Rs(MkY?k$9NQ?E10E%At5{@yg($oFIgD#p%N?5>&% zP3+bhd!0Yhq9-5XCUt*?uxJGn&SsTEFx|tS;u_ju^Jw%#?~Zx4V6^Tefr`5aT#y8M z=bY2++bRi!8xV||n^IB!XM}XQ!<7;2r>tcW;8OzlLHA=%rY?#<$W|@|u=S~XZxen- zWOlG=uMt82;a{p%Yh)}esIP3k^F<*1&uQ=fa@+hbrHs_qP^Apd{~`_IWBwuy20uZJ z62Dl3ITt|zh`E}DQG|g!LikiElRU-~h%d~o{DryOqddM@Vh@0b#tt;6WEhX%#n z%m^PiOr&k5-DW=Dzplc316q@X#VxB(%Q78Fn8WC~wKE1WKL+2lGn3q0E1EyqH@qJo zT)kf>#+V;#@j=zDAZ+1n^m~`tH2#zFk_ag7%DgRAUJ|hJFcZ0naFtn(N#ThuQyY%C zaQJJRIe5$V&P0)<#Y~fYN_3EK!4E)73x%Y50g=J1wNYno=^8y1ba*^LM5aH zzzq>8;0`3287Ous3Kx+Sa5#EyXnBXLDJqgIsfnz9q9Cblfe$`2sP*Vi^kW89+kGvB z*8%qJe%+l=v96K5`D-Zf1aTE~}xcFk@3M_Uc!E_Pi-R2TG6FeX;Q@oSod> z_Qqw~=Jk&-_EE6~T#VAEr@&=M(Zu!pJLe7WxP0Y2Q-poaZVq^nJ}#z#bWc!K_%^cI zbSH@enC$&dodbv%L1w|Husgv9L96&dw1RJy%nuC6&u2B+_aC=EKWlN=Nj!0p`2PXY4Ku zQz~qXe>BbBhV6xW#;uFw#@p*)<~NHSRhX3@0}dkl?&_=4IT$7S0t~rrrO74biIC*1 zY_SrDC=0i>XJSd#DkeckqXcSl0 zWyS4ti@?;(7!x%!VNoSBdb^XlxFJUSc*#0W9J*X;Xy!tcf(s9JM$sDS4}+}Kmy`E$ zol-C*N4!QFG{QC%%g+hzBQcL@=F~&TlGq~{%~M;d1q|1LfvPI?=XH`Rg8aN3OQhzY z)<4v;I1ne`-74yeowsjwQ4={(;Xpq-5vi$&5!Jfoy}K#LCt8W(#R%SDn+mqD+C;O( zSQfxvYE z!=9zO1;d(mECxw|dpQ+gwUl6bIpwdGkE>L`&=~WxKs;bb9v~>??{=vQSOn95rPkHEKr)T zwaS&#wU0 z!s}8|g5Cp>81<=K!&0$O6^%&hq{njH^bQ&@8QU2kac$A`Le0c>54Xi$hLt6`3+%Hn zC1Dn|+cCqDbZa!)@DZE`0Q+`tm>&@v553>Qc`H>&j1m}JLQUZv(lt8n90S_RmstrH zGpXXoeHyZCEAN?VH}smkVrU@8)5v2`QUu%u^#w3kc@VJq*jbL441)^2)xH)tF`GuJ z1wQLfqwiV7li!n-d+ZzyezS%K)Pcp?n&c9MZQfEvg2dW6|E`v}NQ2uiOzI)ijYT>TVa2p~$km4elH?w|j6Zg$vGZa|fr*F96l!X3-&6pdDJ5un zas+m-C(hx_i&a{zTlNv&W86#UE-7W=r7Y6NUZDf9`%AQ-DhT8k`@&Ixci>g=qs9d!3fS$i8f@4=WO6{LW& zGSJH=WZsJ=bo08x>w~1=nGwVE=*?(nY2wS65#kuBVht-$pky^ifI<&*KrVZr!=X_! zr*I3~DSTZ|zBj)QP(r&iYETsq9>c*hoLO+xvr8799>GJR+2g$nfyzrYE1qhmGYH-z5WqQ|L69^|K#rfcy04a|Nb}L4FB(Z zJp^U>y^35Ncf5H!ySA90keQD;ySSFR|w%^)@vUbSi98q5Z3hg@YkNsSSe9 zHv3vVbaqsmmKWJFLB&;#WIWZJ-scA}*c0Z)POjmc+_Q7VwW_vjzX!S|oE7^+Bgp<} zKNjd9JZ*@{L|ar);Qyi9YoD)a+XRD#GnmomEOr${YWkE<gTYcb5-*O}8Z-f@5n^QLKlo)jV=&CyALrTq@A`8y&g z_HVIao`Z8#Urrq@TM2_Eo+l^2?e$>DeQC|c0QY>4F1PB)driTs%fYK+T+RWK`_A!u zS}mC`vFcwon;f6Xs(4>{ckdtb?tc!a{Ex@)-#J&+QC1%I!@*Lx_kwZ?kdnm2KQG@e z6}&-aCMISoiZSmOVw6?N&lTC(*eFpXODB};fSbM4NvfZSeg@0+YBwjLl^+nF-lNIs zvA>vFs+7k$Z`0r1>0J#T_9i_&U$MFX*6Oo)v^H(%8cmKyTMRWu_1IgIRi|fF7KBpJ zCX<-pgTuwug2MfY&5YoW)D{#NBzfskIAm`}UD!?eLc2D^3EVIOpd7r-F~E0+QpeqfNqa!~MRrYVc_at0seuyd50r*v$tz30`*8x= z-mS%xUkI4-;7t5Id$z->UX@k4?-D{n4uM(hRA97>$!S9{M%9;0u(>Sy>ZyKgMwwDz z(3GwoNhFTGDY{FD(L5>i&LUKpRC(U8o-<3COdOw5k#mR=JF?ZhE zuxc>CkhD=!v7SELtIyU+Lu)W6BYeIR;Te<}XNvk7?_oyyH^m`G#fsDSi)DqC(-ScC`J&akucb_v>*jQ7k9~X;w>C(pjYia9Byb`cyZkb23z= zF|@(uO9s9QdPOE6V`Uvhh0T;Z@DOLs%A>F+UGi!M*#g0YsB;{}Y6@FQ&ZUS2qgIqV z75+b1eFBODZOCt3TncC;)4KK4M8`~wea(Sbr-G26+Y@yqMC@_{Gb=>R2BBFc9EOLc z#)pX@+(i^O_A4A$Rqjq%D0x&{Px;RZ>goyRZTIylfmYEKZ6z~>IeEM*Eb-%ow73YL zia~IQ@7#kOtzv;~IF?&eUj>6!uV~lH#eX!TaPFgSB30#s zCv{zj>t=nHIAKaF)FMRMpuML17n_M?#4Buhzu{NDTuP+Qi z(YNLqhBtZP$BgCgzW8;&&&yXhc@+cFX{EThu=8x(Lxziy;iFGMVu)v@v!5O*IugUs z)Qgy!*Dg4io>whMn4Z@t6sovB7fhe*UC5K)QpMCGsG{S?#>wu;`T#FyP zU}(Gg_8NCQq061jH*&XUEtM=7_bl_1n*6qjF7Osr`k;ue-8qbOws36L`6-^Ic&ygh zFAhz?fFKf0|Mwy-)8esOZ$3zq4YuNfwfzO>14qvry4}4MrHW5r$dwe3TOKHOu~#I@ z_Ab(u5!}ymFL!|@MF2_U?O||cHNRdYt2$6Yv=9HAH81@a&O&hd-$3jFy$*nUSGs<{ zuLNJ>Iao;aCCllGNm}35U%{Tdh>_L+p0oY$fd%FeRKe>_6cICK>3vAFk+DvE#kXAV zWym3-tr$hsUeF~vI$Bq_W8Ug8(JP>R#U8z(I@GG)exaF+DnN5LyE<02v zS%&Dd2^cWtZxzm4ig_3DeX58?Bs|JXT43OMQRRG$o)vh+Co#54^+VDtXnj2!&QVADFe8*xivd!pwjq8P}?T7H&1Y70{JCz+?cFy(lVJPx@6;93&mja#L zWX3z?2O4+jO^6RRdr*)!^K6H#NKoC8=%=2X|4kKPavM|pW%}LkJ2A=U||_a3GuJx3jZ!7 z{tLr@G3V#$3x6=YlJewqVkpk9MA1GE3P>hB;Qd&CLZIzJ91 zUC-ih?0KB!GdVXCo9jEtcEuMkuRZ7G?f-=|j=- zQZb{0ttvRYUw;KE=sNs^X#4VoNUvfP0R>F?7l3yehXMPmXI-3m7Y8=%T44V22zKq3 zEg&S9#!-wH!2(q)B~PIx+>Mw0bop$oGyslE2+8N7aY3Zbpo!Z0o_)p2mZPaq9U=?9 z04hqHhQ45(Qi}mf9`#fE9W{GL3Hi$bFL9PR^cH-=+I#j5ooWm3Q0KQw85X{P$e53n zu&)oIcDBVz4cy(z%sP^}XibUzAgqFDlR*N zt!Ro?Pzw7`)7qTA42M2igH#W$F2!85QOBBLhA$yL*fw+fCj`)rX6n|&rZ1(jhWQtO zpFo7kLgLc;;Ghd+=5q=>!)O?^N*;7B_@>G7fT8g8hO}Yaxf|6hpFt|8-PhLp1>i$1 zqdE99uE_8R12Zmle=y)xan6FJ`Yj^(VatN$7gea{w#Z~=aj|tRBnCg_RzJ;=a1Kas zJEdL@Mj^U1|83U|@CsS;hd96b$2x-M|LFk!tCUEDaFdKDB`yO$&XsFH`mZ2eof}l7^|IeIJUYpB9*EtRoJ^P zqbAY63m1R5arf#ridt~65{l*hFjj8e@4UugVX1mxAbv4k;U!tOf@x>hx(1NBxlU=Z zu)ksV(k9W5ZRD8LFmrq)jao7gf27z39dM%7xxQ^~`-El?Mm@M$`~wk1e)beJCCueP zqTP5gjk9y}{N{NaefOG#Cw741`Z>PBOSy2(J8Kx0>ek-%J9J~?XkOX=I&>$Ok&JVp zAZ)?q#wPAY!XOHbtFlPt&ZV6r8Ie}wV*ZmW7C^rxoe-6$qiX67opIo3yG_1wA#1D8 zgoCD6!7cNEFqT2m)b5oXdCp=iyN!RI?6h~ngH(;BvXPM3sgIJ86h*AaTk`mM@K1a59os(ZPS_pCgNKUoU2_Ueku54si`gMIY9^$Gs<3#;7WiMC{47=J!u3) zQOdEwd{~9{4%J?g5GL`&@HU=B37Vj2bPyqCS`a)Qe_#SU?ReOfBB%7v2>2Y4;2UeZ z1M^KI8JvkpX%RIv>vc?yzWG$^WjCHB@Cdq|5wO=^gN8Q>OerEktwFhIP2fAcn5IFg z+5_RkbU!Ngi*Tx9y6iFCod=`gVd35}`C$W6&2{juIv?VqLW zteKnv0@fa_3!W_t*6zQ%@TSX48WXk3TmUb_H&56q%b4#ERF^b_NL716aqXU8#@${6ohcxd=4Bae_0NL8d={$4fBV z2yJYWav#U|b$MH@@G27M3*#V#j{(A+dc@^E7Id>V>oHJrfu5s1aH3QIRG{Ns@jBjb#I%^WTgAh*9GEda~8Pi4k$C}n|poYs=rs-d! zsaT={3j*+Qcaqj^B1k9!F+KIW`fWl$?+Ls1ZxUxve8-5rKGhgJ2Ukn18^-9Cv~kWk z)j>=gO++!EdJ&=V8BWZu)`)U4y-e;F6~sYFZ>3)W+x1KUnbjtdQTS$u-NFzJ4Cst+MmA?LfFUKwefMnL!6)W?ajUzSqQ7c2g zsa*x7&rX{txe9r$kwaR-l#zwcH=9HsrFyGsUZ&&*mF8@VF;QKM*M=Jc?cW=%SF>&A zf-7DySh`a&s$1M4M22oq<=8(PY^5h0N2GF5ZO=IkxrP-g06zgR9Uvq_;czXY&4X)( z$1*=v{M$`;eu`A!cSS!uWr9hySm?e=*_2K`GO@9B4RUFnQh7hAN?J)jI^|d6R3db? zPN}m0SS2mHKUpO$zkgXJEw>*S&6E@(NJ*Gn^mlaye_bx9Ev;l&x1d)~FbV8W@DXTV zAo9afBed?p$6Ys4Re6dyo9H-bq|ki1JTuv8D*eE?Q8}l4H7fnY5U;p)8DROcIGgym zQh7BBeWj705YfCak!NM3?=l1VZE^yp9PR2MVnPeDvO><;#~9BDtEl% z@MS#I`(tH(M&G28WyIVh#cfJohs6!%zjcHh=CR}MN6LRKY#Hu#!d3Fv0QIQGratc# z4ZOCo!k*yrQ~Z6E()J{7xuB7^8VcEvvl`NUF?9~@+pgA_h;gQ|e!;L*YUdi?4Snjc zq$Oz2W>U4=g2l9Cye@%p7T(f6tz|iLE)MLf)EEf$ps>DSQq_3;!06kq-q?t7mfq4n zt93bZt`6+lXmQE78oD&mxm%iP-*?VA)kb zqH61L=TV*lwlh@V#x|J0j-MdhfdWxe59>;cuq`O$vJC1<7ZqTQyd&K~8wfgxZLkky z`nCzQ(2Dj0kJDyjP(>j`REgtdiT$ot0kSGTs2V3g2^;>5E^i6B%C?~u?IaroA4>Rz7H*c#mXO+Y#OhA!3dDf#WLr**|DSM2klf zC*N0d0QXkye8JPU%zloufsU0VlFZT=y7}4%UBh<<|2XPnU_di%Jknz)@SHeIKGKD3 zO&Ou7!a#{P)82FN-N{t4;R`a72y_$Suq)RxBeFZ&jY zi&?Ez>3IsGuC>B0ekWLeAlI@TYE`}BvpK42ZB8P_q(S}Zc{KLbY1TJ{&lpMbtg3X{pppzHbjaeaMt-8Jk>u{hZYp!I!6x=E*NMf-G5 z9v*vO*`<7Tcwjtsw@Lk!sN8^9R8mu;<$*+vUhAalp(KH$gaM|e%>$LG1vt!{DI&&T z#@(W8e!jj2U~z20gB|*^`!$!wqO0c_&E{q@;Q)aKfC(~5f|a7U(e#|)qDsM>Yva;? z8N)vnm?C3>NFrr@kGY_eaYs{*SC__|+hHrkxS=IEH{)}6Oqh70h?H@zt4q(`To;o_ z+X3)EYr`wrT+GEIK3!thaaH(MOElg(mT-KDgQa5dJWGKq1S(0p{P%egMQ-uq#ul@h zKO5OjP+9A%?F{V}oaUtP=^myLYHQcf;D5dtfrNaEE7NT&>&(EEgxC=S6 z#hv(!>t4}t=Vb8TZH}O)U@8R`u*qTtW?kpr)>NGs`y$Ul*CE84P)+m%Nawt?;-hej zC#IssDg>#WGv0t#Cwq@$;p@?azrQ2hDB*bJdI z0G8^Q6ZCk7@P@L2`D4mQQsG%MxrE_aDbzN=V;YD_iHl*Z4;4g`q0AXXlJLN7yn4ik z_WtH4GZgTeNCoyzCDu{Bcy|oJ@Rw?hn<=(}7EGImy!a{&*jCvOd&`vcd(Fkx=Z_pY z7Rs?9YWtz%*J&iMec=v)KJD_1uS~ugaw&Ie)2=RZNjKd2$0U|I(Q#)bZRXwTf>^zP z_Qh4=-!D$&B9)Nq#YRvwB13_FYzMvc)8p`Ys;N!+=vygZq&Bq#3~>|%3le^M`6W=I zOhM;&bEJC@?+Xz7;0cd!5a@@1IFYnuQFQ|~7a{FC6WEAyObsIbgeuA}D33A`Sp_+H zpPLb<@-r_kFO`g2vi}DD> zXJk~;ioS+-uz2U1i~h{NqYYs2eL=Vt?hxS~iq7=|LWj@2g&TOU5U{8aRM!gY_ugxf zn`=PstY_DfrPVHVQrU!9dqA?MN20Hy7SD&|gII=WA_@)As#R%Wk)Z`F5xKFDhNdS} zqolf^i?4fPa0dWI54=}3 z&G%#h51%*0=3uvwH^^s}8;HHcp~|SqC{6svsMR6MsL5#Rs7*XDy1-x2$T4`gLx43w zb@;2@B0=LD6vV2P?52#u!}>J=S&ro&PWn?~9c4$Q;=I_yKVv2k4vXw7tH0DW4GI zo2jub@GaU>68>JwNG!^B5xK9!9v)(fM`SDb#rR8k(y#CNV2~e+`zDz4upzRxR_~@= z31D%Hhj!9s`y>j{TH$#MIoN~G`h-iAT#zm|a{b<&^%cJz%6yXsCVjwVgl;XWMYIx# z1(*&QdgED7e_S4)r-SHS>Pki^vQ=E%EOYEVm;SQW2JnAso>l6Dr^i#UMlZdKt)DFO znjs+Gq^|kBUj6aao3mrvRRS-g8%=sfQ0Ekq5r>QV@oYzX~A!7qpxenYj0qn zZ}WddEnZ4o5|9?wec#ktK>Eu=IM#(vcZuX{ZbLdcG$@$l@q$ikcqV3@>ZOrA+35=8 zDK~6g;BK#*G1+lrD41ksg&XZwB?wQtqLb!EX~JSB~-(x|}XG zf?PNOMbQBsZ*4=ogB}QfbXUhMYvMjnyuJhqRgZofy(o@f6_YjRlFb6+>4c`zGffC--RH}J>)9?S(ZJ9FTO@~U9_P%;R#lBJ;(GlP*8ls`eq zrm|q6`3lP1KlGQ=TUG824<#3G`h_nvvlahJ)Q>!{-_mefcnbyC(+9;Lb;e#zr3 z{tO*;Hy}bW07d)BJF&OgY3cczmbUhKIU)H?V&@$8fi_KP3`GISSvq1;Bmj;{tUZbW zqQ$Ha;gBvlng3=+Oottf*9*5rR)q#>J}7b@X*|w8z&A!(xjQN>|B>YAT;kQ{LLc<* z(AMUMJ*X|el@~4@bt>WJE`ym29L+B)%s$)zt;`(K17n>5nRQvnz?l%DNW=sKeRr7@ zdHsL_J5KUq*_eu;`dgYhH9NM3LNwBRwFo>^NQ(X{O&R%~WWS?`XDCb_@*Lc{^LnP_ zoz=M^*un4216ED&bh*Q(koMIVa-dj{=Aknsh>C>foSZ5&f`F{2P650sSh~RA7J3xzXdr>kG!c5;vyXF3IlvuChmA6H}{6br$ zs%a}wd=%P2NMYP462$%Lk12BEsHs!aJg+KC#Q2pqP*LLH=$Yj_!ck1yDVc(q&ZbfmoE15lR+UN4Y3d_ z{f?o`_sR4(>OJTTD}O22kFrBb4%H>k27I?&t!DG z%F>VNbJpZ(X}GFk8*=UQ$TY&11B-EB4{!%Ty9R!a+QN<=9%S$ddxfFfhPH`aY7BZ1 zj>kd4j3nLUp>pwc6S+tlKR8q3pn} z;I^sK^0@HgyX6@(tjS{e7@6rO9bHw+;=c1?BTcI%LVc@!kXSsjPbXhQpD#@g<6S!Vx+&*XA zwi_~iempL2exuq#03$}FQ!Q{6Jyd1ytXOu~aG+?sXhLqh6`fUF44F0UUl}u#=Jmt1 zXP&dS>z&Y~zc1*e48R?~7}H9UB_AXZGa%<6m89bjG4LHd;uG@@WA<$uPUn7n)72ZH zLj^{H+$P|&6R|v*Ak-<@Kcnj%4%yg~cXUlx6j-8t5Sugl&sB7581=wH&oKjNTG{J3O2kuVNmU^T#MUC7Y&}Gq2r^*l0rJrIa;9Ccn^Ov{o2zAL?Nt{hYPtW zZ-v!EAwzY>x`r%as0fkM2ZLC))oT^(Af+QmS&8g78@-^S@k_mQqCc57?Lw>tM~+s4 zZxQ4=7(c*+-C4ZX9Pl{hJ{$up zmAxsBYmPAbyH!b7!)P3fu!oGR=i5FM>0)3aw{d9jQk305bP|ho&DOb#Gc+#ZnqK z(;-R_@Fw&Qdk$~Yh;0ea75HGdD-pW^Q6pL89ogoJo>%1;zk}c>DK>{l>Ed<3;$^DS zD2nofK#aP;(bYx=_RJFDLn8lc2_HV1Q`*I z-yQf5kNmIOaN%nA3>yN)gTF`OgRjIsg|0G%_6`LZW$yV+e%({TjiU_PAtgV7z`r8R z^kq7EVl(w{tm7uP`hDi}Q6b#Lb(c^-MkhXAfBvbYtXXuc4!&5ehkw*Z|L4Ai|5ix} z{q5fRZww+Xi7x%MX@;DJd6q{-$e9_h4AHiLVy`r)mh5&MVyi!cQ9sD3J zfNHn2l76q&17+#{55W-KK!T)eJp-{-6E?aUy}9xz*a!E`ovKSej_=!P$xD0_xb(LS zSN%5(-!;2XM!#NGP4ns|j&tDfhg)t%i7xh+?vD!gESH~e6RqDSx>Rw;;Df>sLfPmB zil#Y>QVm*-kwW+ss7Q=sPCC2ZLBj1P-J6-+~@A0M~ zR%S1ProcjFD{t-PE?AJR7r4ZrCu})+vzUBvPc*4$IkYh#^~iW1NPmqJXUyB;pSKH? zIy&XjCQzTLD3a_!z}0Cb-|hWq?T~C?ORP{@rH}LAr4%vLMO&prRpw&~r{S=ep9&JF z@t^&bMzx-yI1_IW{|mQkE5`WPqo$tTj~+;+fZ+kLR#|_qC7o}eDSr46&uFj)wkb*? zjY{lbWS1zbT z9eON^{((ARx?_Lh0-fJEmlz?SV@Nw`xQR`C`InatrT!3A?I`(#mN}S`@GeZ>Y9KwT_eO~&d6<@`--CjWqnpjwoy0aT~e(WyG+qF1~K$IN{iQWz?y*oPgrUQ zH7Ov`67}|C=H~q9_c(j$JZcU3K1_L00e(DV?#vp@EnlKEif4O_&s_B(fChaJ#Cb`S z;AQKIRyNwYWN#(wPEJn>tyjW1v=aMZ)WNL;=wJg54Z=8R)kXUFy)#;JgczZ^j<H4`(FZt}xS3}3$2hCN4YOUf(1Mx#?!TH~ z3V-%2zXw`baEWtz!jq#bV)F}L;Vdvz9FFtk5l!1FO&mB~ju5_%_NfT~0JRwBKqOES z9l*9k5LWwpfJaQ`6uQ5~12U0$Cnn-OfZ0(rwY}Ee1XbdWTU7L!asUV*smF@fj6?5D zK84~rD>YYrI9h2BBYvo442{d#K!`WRi*FpzYPXyAxaj+|VaO3dHj|#qzc9+pphPg% zo$9>OgfS39ns7H+9E1@d%5#*r1oN6vBB*AmlBN^8YN3nJr44 z^}E5nZ++^@tDulPAvJMMVPx-R%)DQUvN0EG7S4~7W!y8QD7N;Lp_X14je7zo>`<|l zc}|I?dlzmOPH9XU_9hcPPioGqpprbnsTZ3GAZ>V_ED=`EHCy7)6;?l$JfYEl4~72} z5B@A>DPCtFw)f>K{){=`Fu3uE&$GyA&a)tuJo#?&9L4C?$H-NYGIu@_z-Gdc%PaWI z8c23%SIAZPDLwc3?EK-Ec_?YhnR`*h@@4SN;{H2jGIeby+c;5YvCD6cGO;Ck-B;tD%-P1cdn}Zh$FbeOl*ieO6kC_M%-P7i=iuNsHNrw- z0}Cz=<%GDRUO>1mbhtgwDL(nfS^ALx!)vv0M)pp;mQNv!J~f#MzStyBISh>&bEo9O z7`~Z8p%n6%s%ynfs$C`ii2gn1h2H72hPkl!kX_4%Hm1KVT=>m1Yz~0GeX~IPr;gx% zl2QMyWcq8A=TGzLw-}Fv;J^32#K)h?A}FE`Wa2khjpoFMB=GwpQl~0~Cu*2=Lo_b+ z!NzG#Nsh%}YFmpRWvIu$48IIN#3oXobWR-~iLuex7kE5I+Pq|p6ZH`q=>-rR?zwwT zzOo-uw7Gs>dVZ7GazSK6jUi`vj2KpQa+!w3qr_aLWUCk#aB(YBQbV0o(;V6x1Wzn5 z$OWbCBI*pzd5d0&#IJU@?gnicHM*tg>7s5@0@3--)Jf zUWD~jges37555cwNijHOu7tde$&0zjHCPt{(3cxTi@DKIy!4bruE%gT2oS)*GsPRf zsed#R+e`wCrb9k}g>Q~FpMMv2I<}T}&}jOw5@)_>h6DyV9M|!1B1(6uF*2;oI6PK8 znbHoy7w0!lX#rXx^(GAEew0`cVf^&_XI}?ptU{3*aQ@WxgstI>#x3wLzjX|5HH%Ys zJq6cg@z?&9lcf5RkY51=A7KHO*I2zDlukKRYi3sa$A(8gg-^cRqxYwrrvva9>-k)M z7tnaYLFFTVpH#P(% zBvci3jvDIdEEg#>@9!tX2aTfNBo(NxD$JWKjI!4t0=$>x_#@2J-hY`~BhoHH6L5Z$ z231Dmty^1?4rN%Rx(y@E%>B@M1#<>O%^{xwdY=6bq|I-Hl|N6xyYaCx2i!spO*W~4 zGp5lF&`R3`eU9W_`(1PDRHD)(jg@#RRQN1`<1XFpyf^XgXF)s15pe~t>#N(xuWNmI zx9^(jLPBnn7z7q&yIZQHF-G_@SqW%98rS^40R2G)6H^s%pP2>(GYd_Wv4y9LdMi^A z=MmC!y({X&(7JIk9{_`#C|JRt30}(RH&Vp%7OV+^$YRa%S;Fj81ZgqN0dM4b+b}-^ zD%f42|3A*&GAPb%TNh3U1b26LcXw!L+}+)s1cJM}yESgX-5r9vyNBRzA8YNq_dRt_ zopq|dKiyqjZ&!EMJ8RA{p7DsP_mU7z;ma4Vge3{rZWPmcnNxI}JvCT&`vlL-w}iQo z>nQM36UJ^f2)7ek-R+yb3w=LuA7YTKx>Wr`%=6J_Ew30tzAp320!F1PaU)ytV9tx# zQ{O?H8XxkNSBxkP)?KVDIo(~6ApnCN`<3rjSKM%)JXYG#+OWygFsaeIT)ki;v(w1p z1NJgP)E;2h=c^b2>I-xj-}-`N4{BPT_GTFJkIbsCQGAqlu=yQpgTw6l1$(#S?|;|Q z#oNu*4Ig!M%|A9#|6OGI|LzE~Krx`cn7A5HR2(P=kpEX89 zU{b6a8pK`obTb$i=3DZ$G)rJYnL+P4J3PUbw9@zmXGa))sb&(UEGm0fq$tJC`=Y8W z<475&Y_7-wl2S;_{X~r}loh)-#(PpESi$6Y1I)qwk<%QRnnt6AI$7Hq%ND2T-<@@v zk{eu=&9SJz)ED^qq>;iV1QQuD55ix{`#(G9Bg7187* z0lisE#ugpN*8W-h78-AcfTmqB7qMe;oZ^VnjOdL8Vbr7dq zZeNu}#*K@A6BAu#1@75Gy>AK@i^nSZB3r;NmG|_NJr|&uUZjUiosV%Fy#E^8bW6R~mNWkKqN7o%>^YI@guA+bxKdZN^E+U+3zr6->2=VS z5Gg@b@0qI%@tT(Wt&p6bNQ#eF7c~WzT3QsA945rvJFS|p8u{)DJ5`4^zKiNkbG!UZEPex? zQkqMvYf5o}SF860g&-n2YxC9DbwfKL?1dxyT%2995gVf~>xn8DQ$#4uD0OjYlZeuO z({GsYTkIsIPe{82ULIGjIDf0$DLl>I?8kUYQb6>5((`J59pTBO+7E{PVog+kd;dzs z9#W7LBtC2M{ZStB$Wf~!c#*%$ersGv3|6c*jzrl<)Syc!_34ZK+1Ac0K?{BSWBi4_ z>xgOJH*-rZ&lVC4grnoEw3}*v5`EMc+_JaT&g_kAu~9asPDy?wpm_L{klK$ZPl?~! zV$Yq@r06)nY12z~D@cyo_RV2L@b@?|?;nwI<&d0L!qsuS{hiYFInP*{@N5ECR|0Q; zZz$To7xMn%8jE~zUjFBy<3Bd%l1k#D@=9X=MrEYsNrXQp!{`>j8!!DPu?cf&4L-xJ zTSg8J$zbJwg^v@%gixu6nkgcDK~aB$(Z!0Qc>CltXJXet@2FGKQ8G~}aL9XjH?}!s z{z;sUf>~&|F)R1O7%my7_gd-$l9Bxjl6lfyUtX!UqeQ0s^;QkzIe#ey8GZ6JL!R0j z(H}UjvyXaa)p@15K||cRUk?hxlEK9YhFVCwdA3|;L>c`HlIg=D#Z>%II|#j{D#YN=;iidc+8s>T(Y#zP0SQAM=(E zIz6U0j-PZ2VjYe~qh+(Xhd|(3%KQzHeJztuk=nP0b`X?22nSq|_IK8Vyy&QH+> zH_&McY5$Trs8Eq5<^Qjc%zqCK`Y*@GUyzK5xQ5DqFc}TpMQr{>Ixu%8tRVx8fY1a0 z7$*qW`U4tykU=CBoQcC#n`TN#EHK!L%!YG(hTO50-14;FafTeY&Ji)YVhPp{a?B{S zth*^3Q%)&vl-JE(5h&#U&V~ahK#uQX+@Cv|?2F-zdIrGiS zA^hJia0Yn6w(}!-!ACtBN>0SF%h26wve8(rMt82!^Pt>`6$%W#SV7QyA4I8{vom@U z^<(y1aiGilBR?ryHZnbIqJv(7XssOgph1S6uy~MbE-=>UD$Ak<$X6U)gbBY-}O!V>-_R1K*PU0*r6o)bMJtNt7zUjT3xrq2pJQEPxa$F$I(2iey2%P{ftSJplv?(`9OO6E>z=5CS3 zax;j1SoB+H8L;jLWvSY{Y5k7BJ4;4?y#HdXdW5{RH#u!0B$Li~iJtfH-#b8Nv|GNxZ0DCajy>d$F@> zkO6xO9>%VpsVN1W$T{OD1ZNN5;hdOMZZF}-j3DSB6d5`U_$LXxuPi&dPVPo(OB81C zlG%?w$hR<$7}L_pz7@`H3jegUcXN|=(HWHj%@YQhH|YkjHIkG}*ZLfrsK+l0uLf-kOKZZ6nK?~Qpe&x`OeN|jEy4x_x zu{?}gmBdR+Sc&Eq)1WE*EM6IAMya-XiA?DfqBMqviz4F!-J&vX#OyV^)eJ)COY$dyMA)U$91Fl?m9O+^l0 zML?Q@cj=b8+q#{=g%6*vtg-e#_w zDucd;?9qBf@@-O9V(VFE&<;BkqS6#`#xE(I;4%0wH1Kp)f{*FuM!Lp2bzu|a?L*M) zvMboqk-yo#kUIoHAelumd3s{JouC$PCFv(mFKfQyy_ARER(vr#o*r+{E{F|;Z|M>7 zW_*7gCdwhpv2kV!u)$iA{j&KzZ{N^On*$~jU;v>WQ@yp~xbosh{=1^f4teoE$ zaI`uCir(-YBCI<+Pq)$>&tC~G4uAxU)r~9~%P4Bq!ZFMTptkhAMlguXQQFI;zB1rA z;ETh?MF?)oWZslYUv2KSZwi_-nqkK#3yD$?h?Y+!Lrj$m+$5&dO1T7@vwT+?*Jaz` z!tGc!6I)^-vB4qkl1&H5Xj-n@Tj4p(YOE_A^1^pz^z-C+s7=3A{K>2Em=1WJnxtGQ z>;Ejh<4aw$nLMvDqB*{2?i$aA$daTiUYp)f%VYS2^h3haK#7w#;fbMvPXw31G$Ur& z21=77umU8qW{Qnl|DC?{@dZRsTtP{736%0I+XL0dC(K+Y@JOVar;f;nlQmrYA$RRh zo4cNK%I2u}IgS}laf>}0tSu!ssx6tf$X_~nKzWN|+94BGt*}#0PU$K=0$k6|nZpIS zC#1Ejl9f)TWX=sdc7r;%WKKBeu0Hhbyp4~~`M`D46^HgcP#Fw$g_HcrpBK=Zkfsl) zUr=!;M_TU0Z3O5=E?C+Xdrck(Dm_K;AeyF zjmpP^YuRnMrf1*k`+|KE4g_r;x*%+a+g153I`X2@$i}P4&c?W0CweY9YTiRA%JlXv z8nvtjn`EQUFCG}_Cndf$W{!{}CoNI&k(JUc4O<56JwNzW#<@b^8u|;mu#s@Y79``j zLg2WM?r{YaORw?r2QA3NJa0TIZfqdKqs@mB)IhxEmj-rRN7h(OTo}g3ahb0mQElDU zZC5Ssbs_4GY3TJwK-%(_`mGDsEBnS&x#a5VgwytOWbl5b_2W_MGHSl2@mAc4Zsq#k zT%hOtn+iF%Q7(N}+_@2RUC}=EpxrXJ{q}Enh6~b)CcKZHl@;s%f0?NuqbdQE`*)cs z|1tbS8<<4L;@S0;g0-RttMY}QJDC`qV>2p5H7-Mub-DD#^a4*adwT%t+$v86P0#fs zZiQI2+h?Mje$4(jTkIzuvz!m#CeL|4!K=)qk|aXfx#jH%akTZ`XLxS~br9WvHeXs# z@oa3*L2+bsujMdb*l#l0s&F7?NK<=b1_GnXuKmAN9<(Pn0VKU0pJznCK4yQj;HN5I z6Y8&Mp>1IUBctfdpav<~m3nws%g?kzn08Cz)}SZbW>zuR^T_t_nka+ux2gGuQjQ6I zX2ThSYm{!D*ET2>wACjZR2+)j^d56mQRlTJR+C*e#RuJ;sDc<}Hw>2n2<{u+lkgqc zHq`6CqC2AenvqI=(tUm#588k zp=4WI7X;VHRLuV(o5S6{|2_PCgLrZc^j5&^ljXHyIhUsXz`m^+*EC*bFR$_Spa!Xd z?FR6RsKfTx6V`a9O4s1?VTouof4IV30TlpQK;~1qPEbC}0ebi(^w4i^cM&FvKqU~J z;72F5S=>>o3#Vd)H zHFK72s=5O!;Ogg(=Ths4BE6-S|4>fkM# zx(QQoBt;_QRAIft#$vA%Y!L`-I8qpd9VAgVE&HQ8ccZc(m85M2Mf(e<(*+#PlzR36 z)<}#D_VE&{B8G)OMfJ;yypJl(;d3XhR=q|B5Fh*$CMa`Mk^+Q{+DONK@_wxN=OPsz zLD>Cv|3im(sm%yY+Y3f4Fsm{mLx1ANy!D0J;029IhWg(@lTt5gvuo*`<3-e@e!%Ui zYdKFEllank zJ0A8u^7h`?rOK8y7P!TOM_%?$%hU1TNID9L=S=^_%ddUVgc-5r-!iBX_~Z*nLnGF# zusQINZQ(StZ4|~MHinoB4RFqHcPeysB(CU`SMEjC4 zd8@bL6|RP~vX*?ElL9$k5RyD)BIC?q;QclIFUL54* z1z4&c=dc+VHDq}rlb!EX{?;XpldMgq&eB*JS|ed3f+{~FV}3-`x0Cc)8In^*!Z$8D z6)v+_zr-^T^c8r_PQaMT%p^h(3G{^$1u|8VYtfS&{f;U8&g9}q;&d+{^1Fxh=YE(i z72?svXS||QVM-c_v^uOFyy#H8R?4aZE~!o872aSfN7DN5Yx^mojQKmfT#m%V7kx3Y z8XJS9lp(8;W-$oeQySJt zR!N?(f%q8X4Q`3L3*6?ivY@6*e?nE#pf{_R?-oYARvk zSJX+L-$F9dNs`t@T%%8H`qmH0+x+MJm|$d$@`X{?30PfBrl!*plhrQ&_BVsQ+^KTp z=mVj+{zu63f9+L8g_Zu@tERboqMG6i<#5&mmGzFq;~Irv!$JF03SuoD3PiRrT2h}C ztu2a?ILO!&eBCNqH|~Q-HXt`J353ugTvhoNv=^T{KORV=G??FhKiS{+cB0W8uF4qT%9go={JNr>RXC7D&3x zmKY4J9!z^#*}Lg|LEcL8>C$6$W+fyzZwQ&1>d|xrK4Xk~UpeonpGvMEXq&sW79kLt z6ue!Hngp_!*sn)h?=asnGWbq13lsJ$yToaXO-gG%)Oe8;&OttnWOU&ShJ-&r&iIQ8cMW=n6%0+HeCw1TP2 zFg9HcPEj$9oRlUrMfL*!S_e8Uj6ZbRMWM9XJa$%T7FuJa(PoBpPi?Ir1;~Qw5ho41 z(vzf}I`Lrc)X_S66gYE95qoa_zSYLMeuZnE&SnQA}cdCjSxsP zTbz0h2OaXD{74pl_}q}`;&nxbTq+pzh`sT6JXn%wVzX+u9t9#D&8A+$={99CX)b&j zX<`~pZa@H&s>jMgRg~0^RngLM@esoq3chT@<5-eR1WLhzPGTRnpIRM3#M{iKN`FWd zd4UXI;r?WQD*B3Pm85?M^fOrdn-Fht~dbyt(?s$jPz0rI1Y9EZSVB$O* zNjJD+uoW;+9ODG8eVYbgr8Fr!D(M^iFGHJw!PAhi! ze@>hWrb4**ZSenm%(K=!oCHRXnNJ;JhIsl`AS8kz6ye@9nN;gN;%Ajb#-;*seuOGc z2y*e0?!n0rgzwc-KVE0*A?1Ii2xX~v7zB! zVb)1KP>ohjLpd}vTY?^D&}fR0^#>-#<@x!O;>5Wlzq}PtGoo37aG(Bu1BC*r6l$Rs)Mjc zHK}P?yQF#OE_cX+@s0g5>C(6eLthbBL+X%i^o=>VEjdi(!@U%$ZjsgHqH0-INsSiI z8XQTituHy|IU{Uoa0iwxI0oC5jMxfyKO_0VWw9N4+Z}86`4DrF3skr zOYJTGX74wf2jb`=?jO=QXj%liew;tOiz!epjZYN}^@+kump{^EYJ8t4n4$1@ zFIVI$qSYCP9=oPr4wyk`yx;cak^WR=XS4c-@k1m5=CaZ%US zGYu3pHVfh$YfN5^)&Z=dyvuC4nHpS0px^HIL>+)8c~umf6RxaAZ4?EA-$+8^O#Mrm zcFABv(8=}Tju9-oO`$ulm^ELHpx1>h1+yYlXwUe3cPju{xd>wp|Jq+1cJG&PThLti zdr-G{dN%|7rA36$y#GL_BJV&h&b(}hO`d54z%~z^{}*JwN8lH0f>-p_*xi!E=#WZE ztl_8y7c|3E`aoNM6{LmIdB&;VX>E|dvDajYS<963_Sl-8d4Bi=_hhxS@;bAB?^hLb zmRPvd1sz+<6iw>JYj?!)jesAhQ9@!hfbk*LP83^dY!6r*$1Rme+su*ufd6E=`e||L zQ}bt6Mu_~ePZv&~+DRa~3tW6p*#dCxLWPCg>an+7r)rVkbYk8%4K9j>?~8>cmV6CM zo}6`7kO=I>-Ed9T5yHL;?PN6~6PV*}hjAd;0oLh#>(Qaw{4P4tpyYvsy~^WI--X6R zFAfcF-s2LXCZ}GwS#6;vYe_u8YO@3Ti-|5RSD_~Bqb_uCq4b5;F+U%Z6M14o=>z>P z{BMZcry?(8E!<(>+N0jO5>U1W(gjavgD+5Mdt-=BjU`{eQ3M;J96D?RQ^LRHVdv@qC0acUvuI}sSGjN|Q@dfAY+ zPjK|&DwCSnSs>xwb*hYVMVY)R5w(+hLD{ntn?Ou)e0oFc&GDl~-pG4&{(vv+YDw_m z!bFK2smPL70B*&$f%i*7TwpkN5WR6iN1uDI(4d+?h}&wcy;2sqkUoQbInW=Ut$wVd zw}m47Ny7IDg;I0}O)}F8cbj7s>id$A#uxYoAq~jDI^UlH5WNyJAs6Rnfl*Tj0fMp@ z=6X_rG1hOt>!X<3ID?i)kOd6Im%zeciEGeNFqZ+%vmCv}wnThrI0#>QIf(f1pkAqw z1gvql|6qd$PNpFjB{ZnbpHU9?aA3Wh<52Q}jR{+mBNvB>iBOx&#FHj;dhD3c9Q7rLuZg7tnEBp(DzsKqba+99FNA$rHDk=}T{>MlH3 zdu^xgKE1djz1hfzT~LnaiV@)-XJ0Zi)MRy=$AM$hqV=YjL zoj(>4Hk&6xp#G=r6rqRtD&!rwG={*6YV7U%sk<*PkH~K?i719X<2jl2#J?IyUhq-g zVZ(fcc5)h#50(HLu{e3830HV>G)~PWb8+IN_~u1{v$Oq zhV@(GR(mCl4%&NZ6{b(H4DGRS{)@^XR$#pN&w?cb(J;FJ->Lj1rpN&wO6&P>G)j7~ zi(ta5IULgxW;pg>Tr0FwU7Epn*k<16NAD6nVA|vX| z43#6T{a(m~yS>`?z5s56aXyG)e#lI~hFYclek->^$~&PpQNG+{{&{4+MUypH2l(fK zv0jwGL{*ukb@>LE=0(A658BC(6glKjYXH?xX{NU9n7pg0b!?GQ(z;-n+H@UE#)Px{ zAX8W1U>Fj;0)NmF55Pwq*eRS1gk?<^mA!}9G{j#>ZHd*&1;nv6LF>3^=myFtSL5jt^_WpczbK_9v&-?d##81Tr5I-e| zYE`K8<{QZAlNIS{&6drWtubZC!{HHRWXItt7$&0-5p}S#p}HxNHn4T;UHihLFmKu` z)4(8A#}de5BSD0*vx^yaDqZs3n2dY%Na;u_NWB7nQ~qWt^D*c7i7>*s;yvDYNEi2~ z?&|`gW@Picqz*)thYiy^P5{>8`N%xz`XX4(`BX(&-KzBuE)0ExF(R5VD1B@?h@40X z%tN)0Xo6zLWRI9HWGdR>6FwN_$$^ZmZV?~>CVD5en;6lYp;{N!BK)QZ>k7`4|Tm(B8K;pwRV;M!>+z$R_ex(#$JST)xavaNJ}fW z<6LzroOEVp7DjHAy59Zt7qb=zb$1U!*<+@%7>lnt1W!{00Xhbn{-AZ^R<`r@O z6#a7X$8-r3Vx_}|B0KPgq{l?8JVSmFrFxzQu)uvaBxjse~8OU4PJ##$$S-b$gJKV9J;YS zBTHyaEhc5*ysbb`BsEqFx@uHUX=uoz`NwPsrl?R)6|@fsSG8iIuNo;GP8@?VBj?jW z)eAR{R!zHZ^#2Z$QT=$eJK2iql#;1_+b*MuZUWL7ubCVxnQ?2{VTZU@Dm&0wciZ&8 zCeLBH6lc#_giC7yZH2EHNLbr3amH#cL|3%?`>#o;{`E?zF;h^LDqWL4Z|rcwP=0~B z#d&&MbRPVXCzL3C`;e!5p3HONwejfdUG^!=MthW*qdBTkqc#30{#z|%%!P9oD*Ny+ zE-fZ8n2)IB7n=cMZ_eutLp);6VUL*B7vw8b6}K-jS!an4UKvN3x@@&fU?H`stNH=o49yNV=gVhM+I2;B;**%(TPUQ6FiLr20{jL`_K}lNb6;Vx9H#Jt)hT29fZdfAoOck8c+-*$7oAmQJLa=x==tty$$M7qz?h z=<&7DwKE>k(<$ct-jEY`I9`m^)SplYSB97jzdBAbBwk_f%RO z{z)3&{TLj1W3vTc9fxb;Bei1g8VS;kiVKd4RKtDDykMld+GhYz;Bc}WvpYrnUIlrn z4h@T|8y6Xprj$i_%06(SJ$}O^wdb(gqpyxTAAZZOCp^J&Uz|_1UK;k82P{Cnr;&91 zHX>VXj>}veJ~!DUx2=nggHSiF+3u@SC%hAcevcsWId@u$8bo}qT^trP*`z$%JZ zM5$6vzWnV?BWFYE=ldbRn1k21gp;1o)l_+FhB~ zY_h_YtL1WX{b56R}O=3%n?m0fW$E^`~ zC+xRSGn$!~4?zr1vjRQ`(O2o5;|ZY%2oh=w7UiPLg-bJ?#iuZ%LuG`Wr;&)$90H2Yn+dBe`**z+Vb+-(e`cIr<0(2I7gqnM?T$j>>#g zU12eBx${+}rKhwsDQh=WO7Q6O;4}tYTGGbK%@pt1OBtkfusCurO?j;!?!;;3tu2qh zUAuwKoZ7SSN|JDbv^i}s`WiQ2+0ncMjyZTQ{8Z2lW$9X=dWu<9vZ9^?=5cyNce^Do z11J8qUtGpvupVlhg}^70G_cp2gUK+o=jhBn?S&DG zt#Om{cmbgjC#3NBP|^#+@S;}^>;SeQBkmvWIz#Gq+)w_<{ic3Lci=^3$@_%abLMq} z{EHQds&EAoJJD8HeO#0azS7OdEjW5-M6AxLMhEc4R?KemrKk%O=d$r3WC5oh+nHGo zei{_?jDVk=%F6mPm1V?=PB?bI8AoYXQ54RpEvWuX{=NrqYJ*KGUcE{gU4&1!Pk2J{P}VZyFcrXtA+f-!Kdhp=U8?2(Fx{y zW4!7Sg3g3Ze6CS*Uyo{1nKh*Q=;w!wy@W}Zy&CXno2@7u=~Y&-^NQWQgRgRx(SWzW zW-JTt>KFfs2_cP3@W7;b0_Eb!UQzMDq~Xc;w{`5L`qLzEXTkgW==>jnu!;4} zq|QNR0vAQ4ZW`^+SAxSsJcpIsas50KoFeoI-wGK3(Uo7xFAVXC#&EXr!#5p>>+kqg z9*w_XqULQn8sgRjxORUryWw8cR~Sy=jg6U)W8996@${hW!KuaEV*1ivt7(jdee%>m z+!GS0vv1I~vfVWn@@J1`fEn0@+I*6b=ovo@3*>6ImdEAlq`2c0d;SI~0m^m^^qc!2 zrf{${EDq!J5XSAbsbjS)x5s%F#1V$QrdkM|8Sf=eDqcO=z5lKDzG;OGMfuolw*C=z z|6ey7VFhu05ulu~lIH)Zqg2qxb&9fU6;illJ*;jsO1MaiXQ|{pI;k z|I@@ydZ01f z9l=Q9dNeDmG@S!W_Y%m1?JCN(dCals*=Wl2l;CCi|Kz*Wjbrd8MzYLX zCCQ}1(M>w&%)9#6L6KDAtvg|%rY0hpO5!z}R(ho|9+>b2VFAyBmn*I(vA-so==GwB zx=3^snz=OSjnQ#d?psU00Q#16*&>6H0eG|dBJ!(`o(pM@Xk_K3Qu+9d{-J%TFB*ft|79qp~TQ|pq1IQQDT9)VNnW4Q{{ENz2@^3aU zk}`UDQOu4dAI?Wp``mG$QR3DcnyJm0TH?@u9GaTcOqDO%e2`-wqbgDtKl3I+?dDsR z!YT7B&-2HEy@p5PSzyWEmjdPi$RWgx>FTjHfh6;OfZ|=>eMCxPVKeQiT{&YQl~z(s z@U5PBQL%->$m${MRv__=*4Ax$gDs+koNuD3XDEDdm_VZor#jZyv?9oUcr65qid$m1 zm_^0r)+iMpi;SES1ilKp-2j*^xsXnGV~KP<=c8?nw#0e)96E_4f+ZGf6qc_F{`>Y) z1$Ky0Cg{&vA5q&oQUzZF-%Q7!;?N zb+PUXc`v4B0zIF*0K--Y0g2v5Uz&tJ*+vLRQac&iWq=|Mno7vQ#e^Cr)Vv6mUt*a= zFqNcqlJ7#Hmt&g;`bV{>8PX()AtsT9u_A2$?7#qz`H%@oL&)Ka0(ySs52HY3$nXty z0wF)ARNbM?+`vC;;u<>ju~I?aA+<4FQtm=gR`s%%2+%DXetdt3yCl!WARk}9LExLT z`C`*-jm6*vtHW6OKnRjb2AGZfz7RYXkyp6k+4%X|8P=qOGEWY?AqT$0hrNPPzd~-< zZTEg5TxXc}1b%Rkr^wr#C@r+`TE%soj?4bcQA4`5{+7v?<(cnQ?dquxJI1ZOvV|NnuQSgXUXDKxYDqueiSRT>$ zA`?VoYs~&vUgyl?gTqMaHGkn z1Lt?QRaR;RFlot8maSsNQ;fXB3m#CoBBo}Y9TAu}$I_XWij&6jl@AUi{%VCW9 zS-5b8hd#5b1Cqt1Vxy$I3ep51vvmE=PvNkCeho=o4-9R0{aF(S5mGj|qrd7TL8TGz% znVJ0Bxs}VGmU0O}VRnq<6Za5J)!3!rhaDuxcIGcH3!0zHpoV2J;x|2|&d#{&Ly9xX z#JrZ;E*q8`c2kBTIH%A+1hEzH)030Zi)C2?JXW><*NSiv)}xJ*lfxS5WxF4ulzgfl z3!p}VqxcawYGg9__nJF~&eLi7LY;$jSB4$1i|okzxB~6ci2Q|6jO*yx&|`fTEvhPo zc8=YAxacUk$m!rb;-P`qj-aRL4_e4ASWM}T9oI^>C*VUJJu^+{`+)0*Xy;~eRyYr% zv+!1PLGYDP?!j&|C}VvUQafiU$TLQOJCO9|$5MED!7r*{<`@Yvgb!aG3CF~Z_4<3N z!O+u!jv<>jluL0H1H>48D43Ti0sqrBu1BFz@U~D86}jw`sk9hHY=py2VPTT=S~|xQ zpLm3ue5zE|ilqXXv_le1>zYy#r2J0e{HK_KeCE*S0kf>S1rw6?h~mr=un`R00jOej zv{xhcPrz_PHNtnH;qT7~WS2if9G31WMPzrVK71`N@C0D_l)7M>Tb$4NUP_0 zHAuDLBNe{n_$VlI@e1CS7X%QZC>8IT)IT6D{UwPAOrh_p-cr>jvyOOZ3zs?DZom&2 z~0}vSp>4PeFiYx+moHL zU6I!KkgQDdM^>!j*zaZuM78tcJ`51oie79=?%iht1b&L4?LD)IVCwW(?lBr|Qm%L- zl4djxCMOmD%N6Gw#t6jwu`40M{uAIt{@+~N{tMtFDXXds{I?%Yth9Wu&|mrqszwY# zs!sIf&#;g>DjAF)~NU|=>-C`j`>|PJjI*+t2hHU8%UtSakc_G4D71~k{J)CpozP@`|b)ln<-pemCEN+xHeTc`z=->kJn>U$N9zkEn16sw)xV}!_cxqB4f zf_AvCV`NE}b;R3>T-KqBT573-C}s5w7XT>KdT-s(Yw0@bts45PJex?1VAyKnxj9ra z{RS6!hn6|!UV`a9BIi~s?JeSwfAoYXk0qAAzZ60Sc;1DSv45)~^@E4AMD&?8N9lWs zzFdb=`W1EZzPw%iQ=T`9?;3F4#z%XTywva-WNHAnYbY(s*bg@|_DutJ`xo$0Lgq~j z-}yH%w01QgA)IL)g3bvYW-Jvk^%2NrI=PJLKTQr2-Cz5=F809roSyZ;XoLHpr2NkS zBlSPtZ)JH^IWd5|-2Wk@M8!_pp(uWwKz%uRtoTAT21#lwSf~by7~euHWk43O3d*rd zVwjlCmW;whX@Y!Vv^ijyvbY&HpFOE&oh873=d(ocavyqcp7S1lBpP3T?I!q!8j6&S zm}QW^r=a$u_nPKIH{s>Dwrb&WmwT^ z_bg_+@aA*I2g=MIM<}W{OD)!!?Y!8p+;tdMpapzp+(ItNlaO;t^eJlguGwjvHYzR8 za_7H7rD$~``|JMirbWTkzI?K!ba<|6vFW?1|d*ML;?4BsR;H>=Fa9A>{nS&4l!AsHMq|&e`}$*Zk$n zon^72ncknFzuZi|wrw!h!0`>5aTVsJe7KnenOCG3jsy3l`8pz=`fDhON8d=(^Psey zy9HuIwwVqf8qZM#>JG>+v?}Kc|vWZ2aNH^Ts8}tr`|YETMza*~k*7RKhewfH_X*y2*Gta~En z2Gk$^gJme0!btqvCMHhjRbEiJ>j6Zf_f_02`4HX{tF-wslS|P&;|gqqer7nU zJ?^C%iSw69T8^-kj2Nf(K2-*z1(efefdKV zRP_H^74OHkJd%s7S+>I78aFrD6dR!w$|wiH6oJS2>Zsthezw@( zc{jE>@(w?^6iGbsK5#@IFh`k)13jjv!ghSIdSm z9cBQXHJZY(%X9{U=od4=158tkZ&QjrZQw0ZQ61{LUF*RJQ&yoX{jUhS;j-~fKz~0*JwNMP?l%MKge#=UxYo?`bksuiy7sNwlOA)Y3_& zUi=(fmKfnBCkPZ3Go{3$?*RF=ATHbmcfR$i#)EIPn&4$JdHKu?Ozuvspr!5u-=*RblawSo^b#7!BHL*pA^n-6X+2A( z9mJ5|dmt-4?V%%%R^8mRFs*vm=X##bY6czR_6kv@d_SmfVD@MEm8K*e0$5uqZIkOmNeliBJZSFwY`E*xAqW*GCG&;nqln2s69WT$^; zAvby8F0TlPr+S{uAiy(f0eOaI0iXfyV0**5Y?lqagNf(VakbW4zc~Wrr~V`glXHa9 zc1Gx5x}PA=F8m}uxdyTjdWBEVVt<2=m`1%NuEx50#yXq)X`T&0a7!64v4{% z#4eNpyLbxm-w;VHroET>w@cP&*`FV6`Pd0`Mg=EJaVAsvcHYZI03XCNW7=q*;rvd0 zHD2K$>%*03yaLbdH8SYcgJ@LVkS>|L;|Fe4S$2ckxNuAU@h#Q|+;>e8)=OT(^YMJ8 zo0W?Vn~pB@S3Xs4Va|%uom=q)l?L0)1Ks`DGPqcd$oc}81Q@$4&3K7b>Oc?ex<=x|4dGx?yO(9szxYkb)R+xXB-d+~kPUegy=b~qgj8z$bZaj=Q zC|645X6!)F4?~^s=#hn-4J+1OW1^~PTepctiy1>ZiFs)hc;g{%i8WHPrwnA+_oPTy zx?9^oG?=-5&eG^MRcG(LQcW_4r{xNi!d8!p-_tKuPuV>}XjQ)Lh)_Fgp0kcy$1!BC64@9}9lLliXKKK!F#Sit36V%3k1m;_SM8!EPsTTJU$L_LmpbpA8eJ7}Dw7HGa^tpy_0-QyaS|K!9HGK}&6k@l8B zaW3k%ZgBTNXe1Ea-GT<`#@&Jk3GPm?;O@cQ0|a+>Xx!bQakl_LayoO(wfDKT&zE()sad;66!o^ef)35rua5RPi#)RJg8jAWUixGRo`%zY9hf8!V`XaWshp>qVR zX-@K_0t+O~Zqf)Yiz2+DuUKC_aD+%@d&K$VgEbF2f*UGpL#-D8Ff$b0&T)21FU;f{ zyVw9ORt}Tb4{z5*a!v=CC~z`y3Jnt|C=V$_a-YmT_zW@B$OZ|D#iZeTjM7~(w)l## zoNGz^Jcw*Ba)S&x$B8Jt(31P^F2%NfuxLQ&Cpb8RxxhRo3Q6aIks_eJ^qW)|DHP~6E0lzr)p3+g1rvlon5ZSO zJ`1o*CGZf?DULF@E1w2M#%;7?Pps*%0{C^q@|7UdI|F#JDul1z3qP&s{P*qh?<=;EX4d{s$O36(wLRqqn&_Lsg zt1Ha0=tJz^DF2YolM+kDjS}>W(KbQ0_H+8?8R8#I?v3;=f|pA66Fd6oK^+|D)fw5Y z``H9W$75t$K=b1{TB{5UB6D3I=V4Aq|~zRs5_)TX9Igp=hYQR9lTr+KF!d3UJOL)QZn zNT~2-4_`-QrukdszJ27D)EkZFaS`K#2!%p8LSy7|M&55tMY9Xr4@Ie+X}iQ2&wF_l zyw1?YVyHf8<90G|0jWgCRGwOP=Fw#`1jRCJoV$WhP|7JKo;?!B@DvCr?voH(J1SQR zHcyiaV3`XwurgOK%QJaZ44_!Sbd;BOTJF5}2r}TE1L=v8;E6#ZBQ-CHdM|A$`dAE3 zb0R3?3VNv*5p21*6N06PYpU~+4Y=rkafAZ^weEv(woRMQQ_dWNu%9nx z_&=1vddSIZ1jMq^UR$ow8?y#p}ykm_I(#; zAQYwBAW`wDke2dB*L}kV!uuCDN-)yv#Qa-1)EMj!+ zk{s|~MJ6itZ%^&+#HJjQP06x$!KXh!UDptHZjhz@a;%Y*{K+;9>nSBT<)N3>VYhfP zsM;dI=c^Ch%wrLyD8VMvI;7+MzT0?a=UwN^tHl8gL=-WL&0u3{q?&pB4ufkr))RzW z+UI3u&)=#e??=gjU=fWVKcZjtNo63v8|0!3V|K&NlhLVp#__y1{&y~$KMRAXpzxL~ zoFC^LDFulHR9wv1H9SexVr;H+QV5=-OuUSwJ>mJFD<}8$#PzTPOzL<0O+xG^$cl&W zJ4ST{3-I^;%TueWIqs--A%6LEMqfrVk{I2v>#~9IZ%^%3c@)XK`(p#~7ojDkmQ+&D zMkFJqs{R_Pn*{$bF7zx;LGLZCjY>^lqeJnjwl=Y}3f};Q2)C-r2U*yO2i<73kP~b2 zh#2?Wf0=3_ZfWNl)dQ>F{+McGpr%^2)J7l9Kc?EDiXHkSKTUVi|1#AshnXsru5XPo z9Wf4Of#@DPp{80M+OKzinQEC)YXdoddZkkk;)$`VB)!1=*+8jf_455^pf3A=oU;Bh z)&5yS{`a_*nKYtF{22-ctPGS(z-%X1f*An<;TA#VZm|uyIbrWa<5h6*LrhS`X_Lq0 z%*EU5D`pdy<&S0b+}oJKd8;yvV_GggY97fv8whr6m~aG2i-~DLAdh=cKHzoR^;n?J z@3niJE*xEgst7}S`UD*f2=$T(>tTxlZ=G%r*rMhPD^{nat9Mgvz{9xKlZHDVcdqeZ5oAkbEioU>vMHc_CyGwuOlo~3Ej+M zqj_{sQW>%SWN!*dtTT$lo_TYWZMyhIW7?4_IW4)qiK}uLeQZlkOr@Awe#^>A6-+RP zX~PIgRN;^obw(sGT4XL^*g_Y(_ZEma1q$#ZIJ4cT+eF}Z22+UQxNTvJ>%6yQVa_%) zzqk#7cjk0vk{*dSNSsD{F&_`jN{v+oFpCsf0LCI%Zmy))Y~~fOQ+cO;L;{0gN!N3K zBi>94a{aY9nv_3yGZm@v)>^3#?#Z=I(>}cbQJBro)=@%cs*ymLe}yYZV;W5y_N~dm z@@h0hZLS5w_zkY(yb^~6>(jw5G(uR=U-FPkbCNQ(xvpeJ#w<@aG@5uLJ^nVs^5R-K zS8OsuU&niQ;ekBlqVB6<@bh>X1;{>90d)x(f}yo9S?kNwrI(G3(`Ej7q2+Y>6m1Og zUdt7Ko+1v!xztv~#6(1L9T{roai7Joh1YL%G)LM#5r*u9miXniyge)aTz^KKfx1|J zxFB@DIiR8xb5!MMrtzj9n6Z77`0Hj`*f62X0K=K;vpDaBeXNuIYxaob(qpp-jHhz! z0!iMFRUFODWDwqJ()dshs#1X(>8K8+*p1iS>lPG+Dc^=m9p$v*-bbE2Z@V@)(-*4J z*B_0~*HX-$dM%biGXWXvvB#yP$A`lCG<*GJ3JVFs%Y#F8G0=iEM#P%Bs+s$)wK!$; z`(!ZC5EGNM=^8p%wxfs$P|K5o+zoL?Aa#L)6&JjZ-Bk1 zbLL*_?)j{A@w<|p|I}>`2Dy5}Sr>U?Y`e77pgkTsRchhqj4N|(QDI)ZZ5a?r4?}5j ze8p@|T@msVQ~L>J`?_@8W!2+H#Lk^{j+H5f5O1@TCPEx?y#xxsMR+K@BTlq_eVyaw zh7g*N*j(GX&FTi^RnU+#AP#-Ie_M3ng%#~ z8h&@h-YxR(E?Z;lriT6sb&n~|Lbf1354oLB-v#j@W6=QnbObjFQ$Pwy=m!Eu^BK9> zaKI1TCo9~CA`o`r3%Tq#xd`?n;uKFQytEe4VM~C?aKMwqMYc2~nMkzmSHQtIc_+>z z!IV!WuF29gpMhH}+OFse`F%Fkm1Y$An`5l2G*0kF-%E)3Zw-{IqQc9hCAY)BwB+e5 zGFvFnFz{H+f9mM}^QQk_e6;^AvWfp4|05O*!(>xUWkYA_5?Zf(OnMR|%f(J5MVIK_ z{SMI6_b%7Tv~=#@8mN-np%L-F#N^&k{A%;W=pQLLy;a<3>dEut_}yv3Q0MQp-$-3r zYGQnSIckz&6kP>@Dh@*9(Es6OIDwp8PZ3Cus?;KRW>lMZH6_c z>DO~5Y*h_=%cIj%U&m%$R?8Lt+Cld#(Uwk_45~s$X-8Mlsy#X?##EA#{dABp6$2Bz z8K^&3e%+@wZ5)9P2WT(-e0qyNrmLih9%aa;%2+y(XL)3Fx`u9ZQkv-n#jlnY;x=!h zGmTB=6CwU#mMg#Qt_?Jl5D#U`uw6;mXqPinct^5YcBO3o-B+k6elzcRhzZ4 z>`^M)l^AbuEUR7qGS((+oW`vxhMrH6Pn+=z80Sh>Xi|P?Lj6J~aNa}L|3^jc$Sk)M zGep?OZg{8#Fzb6A{Pf7AT#sB5f|$-V%i-o4hq~nvytrOb+hFLfJmIA=%fr;Jkr>KKL{rOIr+E*DL_% z$U{<$(rfhj1nZ0OiE()T^2}|y)J>jKiaBli%*1Kp_K51(DF}dMQLPN~!qH63_M_;W z4<$3cB6qp4GTmb@-T<=AUIM0$7~tg_iKq6qI9yzDw)!&Ig5i%i4kUK(VHolpJ2WeX zKH@8LC0>8==<-gu;$C60l-3h`8~tl|BK$f?ng3A_+ZPTwpIB5o#GE_ktCKHXM^sh> z|R zYx~})PuY-kV&mPfllCPw*dO^}ht*>bsmMm>I7Nrb<-FQD(2*_s>hAdE;98COD8OO) zC?VY?9D>X;8O*|K%^6y3zl=hDP-T9!jj~fc{@#iMXQOAvM=j1o_I3=pm?eBGm7iRk zdvxehkq-Lzk%vXt^zCeQ=A7Ulm0;4Tf~@K)CPBg$a!OxW z1?}3`j0aU?9ac)?mN{P@JmmT(u zHsxbu453ssZr%y=TD3Mw*z8OIlOf3!EIvrc_)z-dJqk~`E)&vw5?Lu^zli+l{>sl* ziuj>zKfyl=4*qAN-~VaPAOUUv|8D;OU6bgWW1Y>J8+J`|L(AV;=FbZ(Ch4%T>!SW0 zNrs6sD)$Hc3QZsTKiXSTrNK7CRRo;xY5!6|Ejc-!cMABv;zQz$6vrK}zz<*Gc9EB7 zqAyBiW6n>h4-dAa2@WwedlQndKp+`HQ`Czrbx<134Ao`Q6rpLat6-o=;@2_&d)%3v zvLpRoB1KMNQ2gS=6r%D_Z~)3AN!WVI; z0Om>sf`w!?V+E~gb(Hc{F^#Exd~rN0H_kR?6#*9mb`V1|iBJk|L0zCJa3QQVG<48Q zAUY3D&(K+hZzq*q=T}OW+>kqRF%?N!9@E~O8#Pz|uNlJ|sRLcr3Pu#Yp-QTv@cG%R zz!rVBh5_{aPZCp6`cv5ZNEq|5KhD0MsU2h3j z%MR>5Q>Nn@J5%oXw8HVa(zf}sJHzP>Z9lj)exj^JNQ*wMlgSvN%!~Hu_QtU)CFONU z;BVzxSlrOU40^5PILX{-BMoIf8hUn`Eo%!}ejPd@E$)(~5P9{p_w1PmyAd<+mu~1d z@HpH2qjTu6KVOFKS<+Z)6EOO0|u55gqkg+bl^hFby?jdWl$v}-QnN1Db zqU!;x0?~L7P}9QR6)pIUvRK4!=zV7#<;XhjBvv z14$FhFW7vb7u~qZW5dX|@63FI{uOH906mm^#=PVvwfES4TacRZ6|1z+^G$1J2H7Ru zX6PnDRNB1`9n1!*dAw&diX-O5!6$RYiIMaXx6X$8TI`i5KJI>r3Tjgo71p8=={8Ue z@v5H{4YB5B>qZoISx|QWQg>dQhG~myVV^RJ`dg2sC7^8oqV4n!q3s}iw3Q+g1Nh7J za@sX&fMDr7;iqU2$gFuV(~CcP0Js5SnInFPy@;W^x`Y9No`?bE+*c?|+3`P9L*g7X zcknkrDz_kE+9$MdFORQrk-n68^&s1L?})le!ALnMvBjG>wS@PIduu}pvH};WdueCV zF%Nb#@l{;LdJ7`Zjau*`_Gn)R^K6NzLXzPk+jcj=M&)rELmlGFSs`)Mnv^3u{n?42roz>lya{ zcUQ}Q?M?s4I{!|Ifi_vHe@(K??_*D=H5k-!iNil)l<+WQb-zWHz!mq$2->D+8Pfc? zO5@@-LjX0jlxkYqX+tqlSUYu`{A{iR>yMJ}g*Qy`;y=ik1eWUz3az;m4KfY2nZ3by%Vz1T0xf^Cmlw#9G;Ly4UBrKIIzy zm>v0?R)tRoKzMGkz}?4oV3=?HjNcmykE3B@k#Ft4#8gRD22rSp3~KC3h1D@P6oW;q`xo6N(Fg0*wBQ0xjPE$j0sZ4==Lt6dX`7HTa1Z5w(FR)yUZ%`lE84sN10z_0|mkK zZO!TCjnJSZIhl2kUrpmXc_y@wg?I=3Ugw39qt7n4nMqn0B^5PMNnI}Ic?PC5a^9*9 zrd(~zbHR6`A2h1FZ7skSVA=9<#MZ)YgNn`(NtWgcO@H(4%l!RU4_t_4`~cTLVF>B8xF>sVH_luBiY7z2Lm zLt}vC@a^2^Oep-Fqxh=l=*~m}YZ=9g`;)cWHrBeN^Jg^Lw^DHjZz$tbz zspnZY|HZ$*49Q0U*MJ)RiDaqd9Ecf_NYsjiCWj5Xm0I_;5A0}t$TD-~rg*N82OC-2~O0&jL{%L6YpDDWk zTYL1!BnOSl5H}E42O6lUh>FV^NGnJHKmM)L`lh2gqx3px!EZW^uuTnUk&BPdtX>l8 z78_A-F3XHbaimIHhlD%;a=QG}B~^AD*i8abru?P=-glDk&k~9XU6CUjI4#D9mNu5H z&NHEsy;G#GJ`izpL&A?78G}Ry+a>SkYE+VJ_^DUen-kLjof;Y7nBs`TmDKIg8}~0Y zDa^WKs~GRPu%87+tGU-?*0}1z$K-=UEgyiKax2yS%o=yD7wGP5q(8Xk2XU-v^MNFJ8M23G}{Bcy`#I)vawlAL-b7x zpylanKdNufWZJ<<5O%RXOG(V!w+amc71d}VZr=bAwox;{43`{${U)@O)Nx`W{8yvu z+6gdf6yqg1S>r}2NUp+ZZX370P*IfdF>q)HRUs6y-Ec{)A zZSLkl%R<=b46d)a6$n_AoGXc(p}`i)i5}vO5URtaYwsfX-M8keqSMHfg<_3mkH4E} zH&Ayu(v16J8TY;0x_>>!Rvy2btw$~F`%}VB6_*U#G0n%7SUW(p);84F{@Ydr)Jcc^<$Gc|Hd$DQ&0le-7VxXj(Ga4BsQ$t` zvZ;Zj&VaRuN-?b>TINOI0_j-^%Ci;}uwKYw~Y5%;|* z&a>iUBf`5s-N0#W3=a?qlCp-2gAJ0yxhZ1I!>0SdM* zs)?q3FmB6QtSPqSA%Mvekq9 zs_*xUP=zT9q_017-^YA8P|(i2eabFdaGb{rv!=r}=zU0}i8AZ+QK)-^K@|5Tijwe( zE7+mATWW*`1Pad2PLt5beZmiG`^oLtk1stVQ9*2R$t|XuV!?A2ya>IMj1Nl9&w!g9 z5`6!HMjj@O%^%qrNKE~%l8isyAb zk&(^p7bH&@6?t?R>9p`hJ}OB8)_`H7pyeD_RzaiiY$A|W|7bf^7ZGBnofoOt-u_%?BIbXd|82CsLfyC{&?DevV&p)Y&j^m`zPBy=_+8U=IY;n&<1aSFU8# zR%chMcI7oDwrNbb z;2W~<8!X{|2tm)ik$4vj&CW=xDKX(LY>v;g)4D#NxO7=-%Hl*{i;eARN|_RG=wK)k zl2WbFP!Er9&K?&hEM_Ov-3cGs;d(>?^zzYA2(j!$x}#FQ2oo0&h!f=?rLREbN6sk7 zdK1t~+ACz4<((umAHR?DGO63ifQ@v!CWhP*CpaR1ZT0P|34yEi2fm{0-&|mtsEqlmaxropBisEmB1in9 zZAJUq2a!2yOSyo0q61bv2NJyb{9#hIXG5|adqMIct2YqC#_(78^*-`yiJ#SA<(Y8b z)7U(y1MJ|__^zs)B9>We>BHBPE~78_)?JMFGyA?DhHWI|B8OmBFA;>Cl&Q_@fHDm< z`Xjt%X@waTU&hgP+V#4mMs^;h3V>4a9Tw0@E`hL(>RUZjgx zdRR-P7Nr^8Qj7mV!=NjoLVAu{+L=2yw%~L*D-qF!~Sxy|*&wdB4Fn>ArPu zKPQiXzJc~0%jC*9hFtR(mdY*CL)fAS-f8kza@mT(bZ;b&GEOi-w=ZSFh9|s7<8N|q z-`LJj?-27=7||rXm=?W-`@hs0&P(e>$k3^y>mQG&|9C_DpKZdw8iexXl|OJ=sG&?Y z7+tkXCc?&Ce9m%Niju+|#;>6+1$$asCRZbB#)PP-Ksqz^6@uMYw`?{2F527fT^r`3 zC}gW46*ArJ-w?;_)FH0S(VOiWEa~yoTHlSApG*6rM{B;e&!{Z= zSL~EwSt!9{()Bq7GEOt$JS>X)_`!Q7?3DYoCi1(+o+>Go-(7ytff#qKlOhk$nYyb) z$M;dpuqg<%vTbl|kWtLd4t`>^2W|hEX9bDrUUHH4@wIrC6$EOf&a+_BTWN>99JMl}lF^TRyR<8{n zqZ>%Ee^Hmy@b9rY$IoZ&=UU#7*C6gg)7ot_f>lGQ)#W-_fG@32GIDV{$q0mfa~D3B z+#ilWJK4gJ*`0N}CVJ?8bTLU^%k7MUD59R}Q?4vs_tuO$qt_!V*sIm8eIMRGSOrqi0MeZf9+V~BU&&2Y%;a(7H^cM%WdJ& zw1cD1>5Cl-8EaBqB*%}dvstXV@eZ(9yc3?&G8ntcl0Yw?MDGtRTZb5Pn!IKeieg>Cwn2*|60 zL2bYrN#MQDj*YXzdSA4FZo!N=74RJ;JQN2)1cz0Sd9xmoE&?EO{a`qoch=GG4w zLXqab^KCM$lnc%sd(9LEmL}rN_(i2ot96V$^`Jd0Wc54xkZGn#lPX&OMHQUXG?D52 zrKE(vW~C@ZWcc6|<0TM`Tb()&^S*S-f@ng8!mJcrj_E0Ip1&yledQ>!?}-51z^r^Yi!gA5RAaH!jUw`iEb>&gLtCVDW3d&LQ|3js z#*m9ZR?B4`^WAhBFRfnd`)r&ir{R|fbsn=Xr|Ag}#1G+%26(5$*<-2I+>TZ}jxF~O&uxiMxBOPJpujoI8Y?fD7mvqnemWT-pu=C@JhQ4cH zUxsGSE39+g)EZJi`Gkb5oiO0r1c>aghY^1;Gq0y-wC`)Y)^I07l zKCcqi0u7%JHf%K|40J-CDtnQf;8@0qARo53p2?^_nvi2D&w&de{E5h?`uQa?SNe2F zVsZ#ObB3UtwDD zKZVPj4pB5Wl@;lt5zx$Gn##0UlwZiypC$i{nTyc7Na=Wx)zo0b>diZ|E_^~;A>oPS z^+E@A<67e**$p803}W@sYTCQYhxQSNLg=U!Cq1)5#d5H>4sxa#sNTAgc?aYHz4$~6 zU0E0CYH0Zs5aeeQ0Rze;*XYQeOlkh^bYAlwcJlI68uo&r4Dr?_8c_JRzY(7@oC!TN zG~OqO!TTOr>**tq;+=Oui-L6_-=|=~w8C2w{HvzuRSnAQyx-TT52AD3s7st|(ui4l zWpo+GG2WPb_upa(NNHOuey7CP7SB=Z{`}SMU)CYj{U(g(b?%m)4yHL1?jypK{=9{R z)mupme*CC(!bKy>#^BaYcnN)+C+@JerMfsAMXI0`9m@hwd^i87HtL@OdF>koaX%{A zk9K!o{+j8^3(O}!L1#MKf1K(5^VNz|LIWtS_TReLKb|?LTnX--MS~7Qojh~4jUSP} zP2IhE$usOUQLiM-45F0-iWNq!{LvXA3hy5y$hb4;IOXEDvjzgw~&!(QG~N24gTxph9o71-Rn8y-+be z&Vn9OI4HSaWGaS??5r_Ju$HmgSB%!&X0;64{lkW+g5I7dO^OOpH3}sL86JctTNKQO z8JvTHKD)-($}OkRQ6fJ0&M#lx2u+6`UL92$12)7(Fo1_eZ*Y3&UG}?Fiuk)m<0WONJ90(nEerbTk>s zR1d%0V4Yp9?1f9rGh~vL{x25tshSI%O-80`{_QS_bL)2y{eU%7KipD@W$RpXvoQ&U zwGD4oTY|D;kA^!5L@AtUFya+6&Fofv!Hoe4B{T7uN-E z!t(j{7=e7amd+XZGz=mUcpX>hunfYujQKk*4S9U4rBWGdi& z=F^EN>+i;#DCq4nAD;N=`y@B9o1_MC@Nmj0ASRQdrfAJuy5gFBg%N z&~=6{cx1uv=P z5a$!rLq=og{NPIfAY1h`!X`3WCQ4xTG9mI9gSfeR2Amw|1q3fV5_*(5P?l&@Zp2

v$GrroVi2dUTR=R;e8?e#-jSm`wk8$xU`3NBxq5>m!uMyKwp$Go;QPokJ%G3u z<+r$!{wL5VzwaGygJBC}imm=yQ%v)*G1EGs$5E?mtgd+cUmQ zvTqxNE^pn!kqU#y$wk@X^S_89I807$f4utw|2PEx=S9<>hC&6pXi^dT*94gOZA}$d z8CsSSyub~nY1(3jrt>m1-o6%vbgu&!zbos%XUwp+30TG&X(_EJU9ml~GbhR{nJP(b zIghdYGI1tTNBJ2_dJ4IF%G&ps@FNrH^nzmxEMaqHqmxzl)Y_31Y|cqDovuS~HCaOB zO(>~OO?^js=k0?hGV4k5HpI~f`djmJh@>% zF}gRX`;O6A1e5LL(qWJBiCsK_BNi<`wbjuXm9G-F#&obUH&x#h zqp36akTu+*aq`;_Pa>f7Q_MBZ%`_o8bTJIUNqhbp>FOLVHE4n!u6l6w$fVjxsKQBV zoyF?3<`9Rn126v6c45WoJgE%TU~O@1F|T2l{cN2a(D{6XQhg}=MR z0YD=3h)sP4neSLH41+7?r^I@PWNf()Li({*NSn;YNtf-HwO!{z^L;3Z(T}G(qyw+= zbW!|VRQvb?Ei^@>VPQuR*TA`-r|R5Nchk)W=w(Za)QJ{%Pe6gN%mJKC|65>Vs*I1_ zX^Z6@)B^OS6V-T}w|qT>lR+)scw`-HPxw6kN(?h1`oj~d;Jig~5q6jh$z{F_l}<$} zj z`+L#k6LdCdIKhWCbw2K?yD8po$w00Hj#QTi+NECu@ek`oj^rtlYQ<3iP(Igjo> z0QCf#AqAz#;87A$4Sau>c3|{13{%wdS8qbo75!hZjaS0oE){_OEL9N}XPHhNp0+bF z6ANyys38={Y(Jct?Z|SRM#srf!Ib;zct(|PTucQ%Kp?dlXF3@{eN2oj2{G-jfm7`ASm&M4{7df3wzQ}KFGr15IhkV zP_4|T^xpr#71Y@(nIhEYmuZ$|C_E|uiql!)u~g4-9=rFgX=2FPY-$%td+%(zWPH=R zTk4P}hKFyejJT|~eA|GIMumz1_M~70reE~j^%(3a%g$i!JF7G`uYoIrSwNJ~o$_9z zd1zj?GyGx>-6&SRh~X{kmcL-KF}#fg_QFbaZ2a1ZBMyp6yg6H5Qy4XaYZa6+EtUqK7aE>0R+U;seR4AJ={#Jo z3-%`q5S|!D?F7M7Nb(HoiF6w*JjO*lD#uSeW-`;V#8z2dY^J4XEa~Ry6e|NzKQ9|Kjci() z%;bm%2#uNhn-aWcvy86$9pj^**NW#w7u5lE#vQbkk~O4?#Uf^bh8H|w zA(95BV_Sey@Mg9E7G=kuxvJNsjxv`dva|FHZu?z8_@^b`3C`!HgPW3g1H|7ii~dFn zP&q!AgiXM)GFGeT->DkuqQF%iB`%UF#Zd$2;6i*wL=4wx+i!*sw9$djY({g?y?_rw5 zNmgRcE52>2Nd1ERT(P4jsw(k~l8=<0-$0qK!-o#2>YoBms_U5cZ$vyK1aTV&oQ@9c z)YOhqDtprz7sMM{=4fvTq|aPB!{)=GdK)%cH}BH!HR3Y@o0wd#Ey63Gz1pMck z1S1sL9}AA`ln%_M;r`cr%s4_>+eg((AFK&NSvQ??&39gF65BYWJ~0w4R?r%!!YCIy z9N{5r9&ac0oh_v3?$_yl(2W`%f_va)JDdbbOpzDk`)^q)i4j*5Qw2#Y&~Ket#!@p? z>-VqEB=Q)2RLkpwe8X9v$yld1zYrDmYgX*0&H%w1rzOO(A{SY6=U=i}5>3WS3)#-; zj~}8=JcNo--RyPzDtoWD^PXD8;BBIjrv`r{L~A*guFY>IDyuf0EuP&5oR!G_V5!jP zJ}#s1R_dd6ShuZktHJDA_o0GaBz0U$n-@lL{W>8%7Gyo}lgLX|_obF+M#@lOC3rd6^)&8{ag?7h=@u5FjHm#>2 zPN;5Tk>Pb*hAfGQrkVQ~3t7*iEQ0 z(2&{C4n^f2rWi}85nlEqY~otkS)E^ujcMZdhrpTQ99>ls~E-OO`y10&-o5 zzen1v$XgFZlJ+%jiR_sBww;-k?B&*k(M)=!QCVJyTxyFZTsETLVdl_ZU~H{(9d5w* z=9a!KJT#j{e!OfgF&)C>caQlx>;8dXc^YBH7Olrn4OPI)rAxi!ewe0QF+}?S?w0Uq z^wcA{3PCpzhM9%X^ebjz?+-+HCf1C$ZN})EG#foiiD%5T-MVntm29#|DN7yp8xFHc zOpMxJ_qaf@<9XGOZGggGza~ds1Dt+*jiw8Ji?R6y8%|4kQ;_@I>vc!i%J}{szqx=8 zhV=)ym^EX(=yaX-{;BT7D!GV&@8rJqbrpScVb1Z1cP9Kmu`kpG!+8Ef0xqoq)u`*q zJ*PFWAoY$x^%T-{2gJ-0!UBhv(Q-^MH(Mt`?6Fq>mrg7Jzbrj?RGEJTV8Y#I=eJTW zEV@jcFaBPtSOC1nW&j6XrVt%h#;TbFm$;v+u~i?X;PEn!~2dF4?p75n{5tdCi2=EXX-0&6xo`w*cL zrcqNs8_IR#E00#G*rqSBft=uwi*>Zd7%zWx=>eHtatpUu)=w|gc0*{Q7mw&4BtL~x&e>XW%)7NfYl|3f;Kma?fYGTn| z)KRDJhNuNQ@bHQ07V3d8X)6?@v}-k!fGfa?HID;$D0+j|-4NNk2;q%`6l2@tFXJ3z zW7b431bd*baG@BlqPGwyGi<>aHt4H!KdzE$$Exfs{r6w1AH()|90zE-cK(mqpN#+U zi~P@&C8q@Xw_f@0&5x?sG!vRlNx7Igl*b1*>Rb3#n>w`h8K|)Fq+F641ZNztW#wmn7ErR8 zKvi#kVntq3y=o1s30L1fST`)i68(yu%{Bb+VI@{y^6tdRM1}|+(FJ`g<%8F9o%}gP zYN|>Djr|Yn<(lc1W|~ZVCfGbG``Id{ zZ9$5fRDBF9LQw|4sowFTmd6G)`Xj<#fZvWGxRkjQ_h7_C79|ob(iY$r+4gyn_@O_k zv8jXHjwiDfiYIjDDqzo@_E@T{EXhDhjcK%)?z0k_SPemGaVwz4(4+x)XbiF`&~sKE zyNd_;)>!o*%Br*RV>xNH$gfl#PiOlzo-+I0tdAxpeMZ2U@YPFh6s)zd0vn4>u^za; zIlpvuokG#m!!n%nxK^-49X?Iky$o#1ZPh!fmJpS&li_Jr&Qr=jbDjiEwO-4`^lBDe^ z-=EX^4RtLN=0yinqul}WP81WLZ~g?F8n z!I`y$eIyFs-})5khd9LhJ~rRMD#WEj)+1ni{k+r@m%C+?r%^>N;17vc_#V~53bNm+ z7>-cn%65b*C`Iz=J60GSJyL%#FsUz%+2=cg>|g?~5j6QG=O$Ae+Weh-UO8mJz| z*R^wi#yLY~NUzeLCZ=c%R^+SXf5MG&b~R=<;IF5qKT*bS+E9?{Q}aSZ@N*=azL1gK z`-t>O`bjZk1H6mC5KLholBp)ypS%U$#M6YQCW6B=7FN1POW@sSC@S&EGyLg-gtE4s zI5mRkA?_qw>ha+fWi}#FcJ5+++_dx2T_~6}@V89d1}2xvC6K`5*pt0y@G#IIt zv)%0U{P)7L=iXg*cIT@|W1g>8==s1Ip-J~RMx9a{#s=eNkHPw;aw>z$ACP!7;^zKY z&?}$d+z6G0DQ6um9`4>P0`XSMh00GKLqD@mG@ORlH_e8-vWQH0??OPPO;b!}2D z6S&Ieus}h#;aHyPo)jzuq;blRe&p{MpNyMA&vLnIXsR!@JUq*mZGGgBFnu|h{?rb&tCR=HY> zrR-?ukXgoqr2=sPyt_f=H0C%9yJ%%~{zzVe46147Y%C)}TmbyC-~^Thn=;V%O1G@6 z7-22Ixp!d;yT!SF*o5^%5UiIw4b8xSjQdS<;Ql`KFuf1?58(NCy=2jX zBvE)(_g#;ypYvl+2!F<76G+t88|Y}f4n2tezjG-6?;a-6|NUDPPZPShq?Ar#LSta~ zCK2#$+1AMF$-JJR^a?+n3toop2)~v}@}n-Vs|b`CcRT#Ew4C>-bMo*&PJqp&X#LMM zWnzeiNtA6g7Lw6+e(sUIpAqzXa;t7=p3C$iqK`WD{_h?QWgb(8J1Cl#|}+wK@0 z+a23>I=0nu$Lv@gzP0y%Kj)mAcb{iGH+5H|R*kClTVKrinR`fp?>k}qIL_WqabfkY z_2dVvVWVo@!|JZ2HKG#8AdPZ&>cutkD25u#o%Qj6f7s79tE??bpHBlhs{>w;}JPI=V2ED7U)u4Z8}$bzn4Ij7|(g8$#8OSvxJP9RabTdqu=b95Gc< zRqRsvx8z6M)#2a_7S>vCQuK)hg$Yt81Gx>T@&6A z;?YJ^f7qh`iTT?C*oj9glfc_?pM#S>auV)NJ`?elZOQD;>dZJ>HrjJ``FCZFCL{=7 z33{M9{J%d?|EGxUANiWbx(m7)#s{N9qp7xisI!%w97w$eBYJHBvG&tg%(9+w2&>sX zsn}KO_;G#(;{ZU7Qdbw3#MV5^)8wV*o;nC^;jun4&o`G@j zLZU5K{`?w)w)+y3xI;i<&bA`VXk@ZQb7GRxc-z5QJeAcE(&F2mm$8s(7U2`1BBW_@ zrjrt#pi?gm-vU8PZB%WZ`Ij`}yX|saP|k7kT)mD1l}%cEeN~jyETs_;$F!!%FnKod zd}i!8VYa?Ze|Ek^Lq|GJGy57Sb>C=aV>Q??o*HC-7j-IdI;`}KuhzmZdjU#8V72KG z>u$cgIIF|_3^ujPPy&A~G$T2xRQ$S?0jOnKMiXsmF6qM2*hOMgS*Dto!W1*#pBLSY z)^W)kr!K!x`!re{Lh~K z62mCGsfGFsApQn*9Toj%D}7fU^_gchKQDcUQmS7oi??g7fq8zhH669`(4n(FkogGi`VDkwdh zYxPS(D0CMfIv|7m0!g^CAjG*)Xz8bTq_eR}j;2u{JRG;%@y`hfnY8Z`aCB`ndqE+K z085|~tlUQU1hUIzM#$@jbJ2_X)o`uGK)1^AQc^dsm*EifQ{7zIa@44d6Y{gCozWw} zT8(M~vobFZZ&$F6m!LuF+~Mw~%qH+@Jpw`p^{9KY6LxUp-tMz{mEdAhoBQYL`Kiu$ zb8mY<_ZYQJUF0_YMwyYhc^y%$apUL?`}JlR%KX4!codT1#kto_&Vhx+ne#D2%0qp_~9!DRi;HNFfZtjpOCtl$Cc@0b369xd-oPP6R zIH?T%5ZU#hxFm03Mbi2bqj>9|AT}Km-wHX}`DbDTAf!^67k-Y#G6gQ=H)G;S^*u`C zN`RDcI*0IP`vh>gzj^D~cmG0Wa3jOIt)=x1*qxoJl5^{3t>Gg@O=Kh9}-ch5S3(3wwbd z(e5!!w5wyICqYtn&0#b_E%yy%UoXX&COuw;lZ?4jgEqN?WQ-p517d++cTj?dFH-eM z?BojmpRixsiTj<Rh8EdJ99ciJ}M3YXzxe?7@+Us3c1yB zg=%3itLJoE^B>gGN4zB&3aHRRMf|s7>;G<146-PysssO76sNBKh1&@aFocDL1<*H1 z1Y&_qiuGL9n|(|S^^r!bMzr=k6vQkn6t3p|^WKFZm*V196NqHWGy>E^(weT9>TdXp zYx=Q!{YI4(DibEp8_vS+wSDx*|I#p;T)sN=~ir)C)GDgqffJ;M7xEo-i zI68ay{_01lsk1wYvz7bRu9h*|NpN_+iG$m;vYMbkt~wjk3Qnfk=JFs4;_&0i$yrS2 z{UfUIfB;N z6_yBf$51p8$64uMQe5sRANl?XF|V$Q24xw)=dB(USQ9bb zxzfwuHtEcySc7J?`tG09O!_(ARXzcN# z8cH8%%2itIDUM1UBW1f;Iusmg?|pGb;*T^C=k0m2A!PyUIeAu{Psovdd1Kfc>FsWV zZChf4KhNlW^y#8-CD?rFlN(Y2EWi>=@GjTWj+E*+$DE)~W%v1H?F}m+2o;IF&UhHVZ9Mgs6Xx8Y{|TrPLX9#%*tw_K$vN9Zf2QN1sfZ0t>VHsQJj>PpuSxY9!;iH=#2?QAPg8mcp z(*@HvfaugzB}D%r;m5@5GJjS9IV_jmt%nzjFIG}ZTacfB z;G>c;m7|H`DR}bODe)+{nKJoDQuhWylT!G9C8m79E$sVzxFi3N(cIJg(A6W@{V9FK z7EM3Ib^*2~*>>Dm!P8(4l!0*p%D{+P50`?*x9OA_giYF353JQOaN1U}1BD;}JrmOF zQt3Ym^vBaa#&yXCS8~FdP=c)n#E|>=lKiO|U!=swz)8&tvHc)Sw3}0U`zQ5i4N31( z)O9Z)u{lqOB6Z}@=FLi(bTD`51f|l(CT5yW3l21q90HCZ?Dg&GHYa1dZWWv;Stc5A?$E;{ArNwt1PHIH zE~Vh&jt!>LSGc8Tf3ufmdVTeqFzlF}v2Z9``*K93`m_J*!xgt*3G3)3#5ST)db`t` z6!|;cOVa`ki^G1+K%k9wTIfHf^#k;n{=ER{3IT+xtTNdU&Zk)2$7TINKjRCg1RQ!8_wM?J_Oc8SsAl zLjLLZld>2hz;)RXi^EMLMu|2Rv(nTtccbiNl)+!h zlIpQva9;H@YgRWya1~l&*w5vxIx^i4OVnJ{GU&Zdo~`xOv7)>cZ*+4 z%Q4`RLx+AOA~egEi#u|OW=C9*9g*OnraGqY{A>|gZJsdYBi)1KU?r&PA3Hfkgi>l^ zq|FcAxvFZ&80NKnlL4nWwTE(Q8T^3=-OVGfI7qgwz_eRTz;x@+Gn%Gt8M>aH zINrdjr8&Pr0Mkjy!BLb%=dZl^Vs2WN#BClI?P8fWJL2dnI2B@`>=1=_j~{DLN7pXf zCUR4auZnK@?Ai-ts8AaK(@SI-t?OMA8P3YXD26QFFUueUNTXCYkcqvuO?HwDF>-A? z;MYoIt}z(%xDV1umO!+R?w3!)co3#uV@V#z)85`z82l3Kg&Z!aX7fSyO(u^{*wvkL z`EBhK3dc1zMQy4#L_x^DPLsvLA>KM!iJj&pv7M4I;6ri$fZ6Q4_|2t4ap_JX306o* zAU!bwni8YvbFfEx|;SUZKiEY+V``Ch+@sa7@ zK3fBJNu4Ju#c)2&H<13O4R(;a!JQ8 zI%YUhbP+~8`Vz!a@?EmT&G5{=a}u!EB3b*+bE_T9PG`YZyQ&KQ`u@q!?`lD(UBS!P4xb(n%#{p3lKMFWv6$ulO#&oQH(%^z~&{@Le}9`0lc>8!7~b zTf}Fk{Rt2B=M{g*7*sSy3CJ5Di;x#F2r2}Vr)Lg;>6qO# zo%;R8THt+`1}CcwK|m>Hf^YeJO$PEUy@G#B-b04RK5NnfqSc#qsUfD6)`i01=33!K z?Hs^~MA@d#f`WcOBln2L8&;8E!M}zb^BD`Sf-MxyAyivLj=tc>Bdf9^O%C2!9asSb$s_ zd&?0-ejC3&cgYG0=^e;*w1wRiCToe>id4T-QXbLMlWg#}gvIr@fn9xe>M-bEpYW8r zB1^LILye`V4~LP|$g2|3uR?nP8THb19*8*iMuKd`DdH<##bcv>j3FgRL8laj)ySp0 zSbc=*TnE_U+ua!`)^?uwKrBxyEfCY>KGys=zw+XHMRsbN!@sF5;F&|a3HN1xOg7_L z?exdG;r1qeySm(t*>am_J>~m_PWDWD@`T&H?5pTw_zP5=<8G8fKWn-QTr9Zk*(Atw zb8;k9(gdg!iChvK_kkB5kj^Bv1!hqU)X7%URT@Q!k@B(P=A2IQe(><*kpE;NgiP=L}#X|Olz9~I19-K zR?p9+&&SU#y^Yz}bEit!Ex@m2U>wqt85Ot-lPIe#%fmw4hpI}G~*}AJxb5 zAhs=OYr|$k@}g0YWMLS~G>T($1<%n)r7csOV8SV%!kY@rbI92fkH4on@|0sPsd;%R za+W0X>Zi=6G8|y|>9BIJx>J9q&$1tJ=iHN}{BD5(3`&llEjZ?L!a^-2e?cS?gYE|d zk)keWC+&r(RGo0+_R>QN@4qxk-21^QB)p4%+C<~SPO*_y(~N?jb6u64Q7W6D+sV{8vL-Nl^G4a`}jv*G-=oA5JzkJRD!rX0qb^&B^<|*_^5}@!pg7_Efq9I8rJZ1Fe2&Ubnn0ORPkpty-}<55scen1sfh_# z7x!l7DOIbELcykpx~Yk}XwOyAv_|WDc4rJFvsG9IsZJ6&E7+M!V!gXU5oct31R{Z+ zy2JeaogQhU)7G+6cJq&>H2vK@iyKUoR!w;r-zw~hH`_jyu^raeWwjd2MF4P*c%%8v z)TTyq3d<(6B%NBVTfPXyI62{cZlB6fZc*cMww6c7){_z(q`h_#VGd|iK&cH!6=#1X zfaV$hVKLK+Tvd1==5aO5$RX#!O)}+LE7~*Rfb@&BhqysAMwvHCBRWA0u^0W)&NJlu zRJIR>)F`6!1#6nHXpa`A!N}aLK6rrRXMJ{?<925Gj??ra8X0sxtE^FoKM{L&0|`p6 zU~R`PdW_32&|22p)}d$(h^1Py#T9ICo@gV#p`PrpTE+F^n9iyM%_9Oi1=PQd&v6;j zN>aEfqbaI=lBr2J7kZD9z8Y2t864n)f(q&-ZIH0t;{yeu(>ZpHiltVJ{>HqKc|YLa zpU5I~9d-X)X#4BrC3YaAzUR9&pJ$46u+&7=II;U2XqEjH`*#=fj@gRo8s|xs&3tRD zriP6`P0|~w6|uUPtA_W+*rt=fG&jM1|1=3P`a7(G&?fn)<(}xUb+E7G!%>mn4(fiv z*|pAq=q`V-ugsGs#~<%FlCj}n`9-L9nFq#sdmo4lSHUey9%+yQhCd_#kmT?P<6M4C zvd}ErL;m73?|%iuw&&fo>KNbZ4y8@`YLWTcAQkU{kIbfvzwgm-na~3Ih>$bZ5&DvP zl0W%Zahz130Svan`vZb%odU-eR}93CLbn?JK5+wa{6no&eBxQX@mbCL-{x&!qFCv0 zP{YyuugIwXz5WC(ktI|O6_v#Qj}b6w9f+=tGTaNWHznxG%cDpfE$9S@@4khRfk(Y;5h#D}e2krKmWQ;(FuvT$UjqjUck>)xw##i%OU2o=4(S7&%^%X>Q$=TR2wsNP#2BeezxwM_( zl&AKYV6$dw#eFzY%!@G6p}$aO=Tm#_eJ(`Uk*OTV3_;P%|AHOdFu7UsobaJcNAu-a zwI1IGr$940b0-!RNRN<$`+yOH$L#f(*5;>c$i@rsn5#H8*KS2beL*&{$+CXqk5VZy zsGi3_`b^K_dRijGlZG;|rZicVhx`{DVx*-yW4ntnxkQ~nQh4C~lSTb7Fz1m_%FKr~^dG~FS8NRi1l+t4;PlZi*!3*ZIY4DUn z4Zncm7IOKqWE{>(Ta=L#Tz8*PWiq3D)OfI)@hDqSO9!J@$%$^ZkJ^q+jnNW@&H)&h zVD&D-G#deeSY&gXId4p3$$&D1V=RB}Nn#>-3dFC1D7m%`s9(0=WICeua?+AH5KLz! zBgN`ofo&zm1fW&*+I`*DD5n0t731;32?wkZBlE@uz+edRbqi|8mb5Ic>zI6ZWW4KO z6*HH6FqQ}gBR0Z`RRkI($aCm~P&64tm~eraIO@*e;~QDH=DtKRBJK@jEaJ}dFEZq- zORMQLTZT|qEPx08q_#fhcKSW+{~SBp`1zRBK0BB2?hpGQw)PkwlD-`5YmI|6qwTLz zWYR=ya@B%F?VznhGP=P2kiw;W8iw~CA@Ar034abiHIl!zDh91*7T$AV@f1z$ZaN1E zowh5u@s!^(F75(K2I4E8xVm)9nysY#nh5LbG6V)~xD2r{;9IX3yu`+0!q{N1$U2!j zkf=_cs9L#8HfFgOkm` zyl0CW*z%8tU}O}AUH+7Kn2-?1Yk5Gi`3TAIyeMq z*^qzbVce%+zagfEs_Q7L7GJ*8Osoq6 zG*;b0=B6((&>O9mBsCHy=|=Vij*VsX?GI!m@}b)FxeOrI-shWBx+O}apWptH)#GkKFvokbh(#5qmiVNjScmc}r5r;wW$U zf(k9{-hmc5L)!L=R*g^=meUw6nXJ_r9cA4^zvfeQ&T2p&n}b`9;GrM$2yU51DAQEp z0xd_8m`x;T;^{m4vHVo6LM4r~m?N{}b-h7sz+W>s)+U=LBx^YR@M{gJEKU7-#*_6U zL~%Dm1?_CcnG!%z3_`N+dkq0P6q_QjtG9eHDmfQSH8yjiVCfsbGKT=k-mOvQ1ZaEN z8cve?0d?~xx!w{yZmxN_J(GwhB)EfKVaJ9e+9NDo5mLEFPl?so@Nj6yD93ViOnYzx zbFv($7DPVsPCsQjxPo~47)R<(JT3F5>BgRoWmvu7z18k^mxXyM5su=DlXh>O5L>Y> z!rSw!r5|ZUan)EucI*^z4Juhu$cSlIq^vj(p7VeTds{6+Ein7!lz#i0IvEUn=CRan zUUBpfIp~Fj!P7-pyQl@F#9$S{Y6?H7SCB`zl|4Eco1RhqTUuZr%IpYF@%(_nes^m$ zolsUsD0nQ4njU zy9a{G;UQoj?4Z7Giv&A!6IUG*zz?(8}q9aTVlN1HGDW|}fZd_Bvkra#2V zd+D}uC0-(!aMePVgWvY2Sk@%;Cv(I@gFLz3IFEekp7q_{0}(Xtag1*TnwP{CD51i9 zL{zd!8qMQ@17@?ob1C>Av#wEmbSW#{quGBHn*{xj_-dfLRq9{wR*HY?Bcy@aivL8~ zhW=Nit)(5Kkn$B{+h?qX*&IoQ=r|HqNyG$kY)C3Ti1L#G!`*SieE7n-LE>L=Y+JYq zW$@?5nf!uB{6`OOdk2U=(6AH`P_T3iT*)Qml27eXl7nXB@Qn7qwOZLo5wd<7K&FD}Lwsw`&p#~rP7)I&qK`~;ceMIiH4UTtZ ziAD{or{ZVRvoP<`D?^i{YamA|sO-2>+VIvzQN^s?X{oGXUfSs9sO?=id&QiD_ zLMa0cf_Uk=k_C?54LMSo)l#f9nsdz4nb-OeLx%7fc%(_EI*EM}wo&+1?;Dz3$`7{M zv`keHP9q1zz@cWSl%xbIU*xZGgR`{H9*|%=0w9+flpHVqOnj33L$F+7=YByFsUJ;? z900U{+j~{K+Ju;&jH&EhJ*aI|;*BA@WkVLst?o8+|NZd=H+?YK-m1(mUDSGo$wqr7 zTg|tB(r}S>WSL|951N}wNITJ&(8_ow6G7v5=ACH4BVicHxcH^<4eGyUdGj_bn}6AC zp#OE2$NX=<^?&t@qUvf&nxfMGXSX%xv95+QREswfi&=f_aC;D+XEwB&x@JpE+6fad5 zMFzo>&fb@c$6V9xm&<9TPt(5$iIHHAb*4>MFk2?cZX0T(TmchQF;&BN(gE9pgL(mX z^LpEh`^WOz62t=FK`#@HphVMz`shTibgDe<D`F^J+ zSe{*osp8eJuN{t>8{zI?fo5L%v1QKQtZbQ_lGtIZ){^UZ^G(rIWFbgf#o<%WR$G@; zr%Cli%IUyI&PP61WonKRHf;-k>wvTn^QJ51QVM}->|L!fd7#=f{z2&w;bKczih>K7 z3UMw93Z1+!MP-G1Z#Y+y?Gz|N0u|NO@l_jEX%81{MD9vFM|afe CZvC}EfLSu#K znFRK$ci%dC>>fs`1t0o};rw~YH8&twN$hw+{t!ILb()4at>VgV@`+M&w`a0R5W zb9BqR2_6GNRume^^^0BEdOOc;A)0MgWnES^$sy1FI}sr&_Ih-SkMQ_dH>E&VRr}&@6m#Dw9OUBL zHOs}B6Blik6Goz}&)GEDVByt`ui5A|6!WCDYS1RoJh3J}2UH?5jGb@*r*eq*zD9>| z&Q7&7#9H^{ULNDv89!s+lf_aKG`e4Rgdp0cem;2-X^JuN#eYd`r{5K)s-)Cb+i~c} zDt$!=2%8l}_9A@R4!$ZRGQfMW}WbqXbRNg~=w)B0U)zps9hJzy5-Gv2`L`w-+U)eB5_B01-CjCMi<#K~{f zqMH8jix;(sM~-+SofE1+**9C?D>x&mp9eLZujp)`^_O(NU%Zh;JpN#hOp`8VQ1mKM zZ3hyY0p34|uoW1#1EJ9-_EGG?_e4uWbr?1qpRPl`(X(RF7T9;j3|~$1QQeE+N#5XB z>2rSZ^-2`etq182q(nNzzf+Ww3~eB^U5QP{a6$1&+vIDPm_b!PiRd)+p=2JRdfn4s zMX!X%_G_a=ITpmjcj#dJ4oq`I->Dvi#a~**;u+WXqRRi-Z>j3B#SMen&9r~rZ?XJe z9gz}>8vnE5OkM}7{+0aA2;;K{tSJ<)fs`m09vbZUm zRLn-(1=^pnW7R+R2hVN&*}V9_kM7@O*b3}~r;*-S^q8E5VRjlU%W-kzc5qgfM;q}a zZWUSEQoLOf4dZkH56CosiHd{Bh~az7!#F?RvsM1U40 ziLM02!OBv59}XaM6JO;$sy+iU88xk8l<0cLf4YfJCNeFWOeJjmk<`)F(XC)sSm1y# zt0;gH_epSlr|_rJ93n{I>(CU$xc3&=dO0_((G#?JVi?>Zw%ugJ-)*0youtMaiZoac z_zN4@48M7|rD(xF2iW+O^V|9Fb~7K&-4F@>b-5O1TYI4AQjDT2P&!Pu0iv5pLJiyt zR;o3UtoBL2^H?sK@y1W3fv-)ckVe%p7Gblh@nirDVGQhv;@ctfMb88usfjgNM_6!7 z8Tc5Mjk$QKI>vw_Vtfe!)e#5<{A1lic`zKVVD5*7xIcO)4YF1q?q;E9Li9#0`Q!4a z)G>0GY|nu`jT+?y*s$f-kseLVSVO}1pDcahAsi=6+HP;*dalG0rB0F$K3GS33EA{$ z8_K3_LARBNcuEm($(A#x96`;v&{q8FF%vkoHbr(xl2p6F#CYPl=&z8GUAC*zVo?-M z#We{nsWAu|j?MK6nuGodP27xlGM#wr4NSLxJH?-lede@4Ma{oE#s9Ui`7d##vJ5~? zUG<+SQBIniE4n()$Om8{B;?zd{4cues?C`#Vrss@BD!!CCCK?0^wv340DiN~beN1dF7Co0AAO73j z?c9QomnTY}82TznC*x_f%!FV(N>f*tRuNP!x>8Q!v`dr0Vyv!3Iv->O|&&8->A`%S1%e zl)1_-VYoMrI&8e)wO^I@-c1=Q1yyr-0t z9`J}}$%JTh1&om>c$AB=W9tX$R2GuP-Moz+a;BV0^T|U~L zCh=CF$*)T+83ayVhWLLyQF&5 z`9>Y*x!EPFn-^;ts#NlvS&<}RR7^d|JCYj|`NQOjnSchA19ak2%0bE%dPzlgtBPaM z(b09N0;gERU^9dXCn;9mfrQFvs$E}F$SP9i9$iA}=s&(aISp1di<1%Q%Sd60RS)#e zdK8+#ge}`1o^JyCDIV)qTaN^kvHXC%1D=()RMJR$os#_xRx3KFaEH~6z6sE&HlXi+ zrIFERY3vf7*E*c--)vmu`7Rj-7BI;np`CKH2aS7i{n)tsc{wz#Q$``HP~g%(j8y2T zS;Ei#ie;Pyjx7vV)mNt*ci2_3*bgyjoKJ!fm(z=m(>6J436m2a(<#{m(7`;4NKva;k;V2lT<(?yetL`r*ZJMi9rW%4#~f|ON_+vgM58oeJ=sBR#|2(w zR)KTmv>8~wv7%%(Hgb(>AKZsO_||08Y}wOZK|3-51HOtZ0puD*w&Q4cf-jeUbh)tu z!gjO;jylalk+y}=e82ewg39DMMKN95KBMHex|r_cP_^eUcLUs9tnpza?Sa2`^xs zL$oq~u0=#&Um+j=V4CGadro@YZQ3w{T_l%Y)u*#A-lCBCEwjllF#e88%#PS-6T^E$ z{$=dYDp6SZ%gQ+m=R(?0!@4pTus7|5B$Bw`6gln7+Fft@g*!VTWtIs=z(%-Lqn(Gt zD*T1eWspzIpke9hHckC6BAS4{G5h#d=@&aypAn0`Vmstw+jvHU_#}#VqKqy>aB)Ur z(@De|R;%w+&Fn%Xdo5BWw((Wi`#`jhXn7%Ku!1yX<2KPAir+3p4=Ko_?&~H}t0es0 zB!)?UV_sq7HxGgZj-md57txVDN?$#GQnu_ zmc2X$bwginP$>cQoI`xT9{W)ExP1qH0bYJxT43T<`bmK9HS!-G&<{zdjb+gO^b_j8 z=~@{7*Fx{Vf))SM3FoNm|3xYDM+&iRWUwoqmo*lvFOVyU(diXk6BB9pOVx5sZ=cAh z-=W|rT(LxdU*TQ+P;w9LxhKJ22pFFJ=@XE==i|;T*8qWM7DjQjFF3REk~_8i@%jh* z>unhv&KFPr(vywFWMMA+WLGHf`cyTvjNpmLVnT44e!h^1^sz8Iito zZ4tl4oGn|e`hp!{!g(ixoP8lwXw?FT41TJE${2+emMjc+&~6H;ZAK_e8nuswY==jY zMcm>(%zg&7q)Og_Et}>TvHEzU%=)lHhm#|0POPy6FRP;bwz-$~yk#{}Dp93ar#1=p zTOcjMh}r-NPZkNA^GB*gG&w2BG>hADg7ZtE>ZF_}o=oBvBGAgxkOg6UA?hn^*i)=G za0<`bgkRVBe0~Y@x8y=svt_0NP#i8vAm3u+St0ix#>~`kVQe&DPB21d{Kq1KLUR)i zBuL(mVX~nt*$>|ZX+oOfMt2AXySP|vK2XvpKv!|#Y*m=aZ3P6W6JFwBhAlIEE)RcIs#wuRN;*W``fdJ(w%;BiL7s&{2!rXRJD z*4W_3xK0K7MSZ)Tkn7C+jij5Kgh^4AH_EbA$lK}9=%AEagZVa6AUn(^1}W2NM)?2gK_&wI;Wk? z5pp7MbLq&dkVWRv6y?5N8k?gizyU?gjQ%-j|(aX{#mmwG{HDN_m0>lL7=<-zd* zm0ISWgmyjwEwHA80u4+8Cf1&(n(P(z3^1d@SsPa(bJ`9K7IlnPlav>Ol%XQZnu~!%_B_7!owqrakRp`(?4fCbp%u44(1Ma zYPnn8DEns8|32XvT=!{=+!mwQMtN#2dhSF@6#r%RwJTXKQN8Oj$@ZDzI+ubY-NuN# z752qP>?J>#oCqfpyJ9%7kL^B_(KdOLArhsM7Ry)|FT`vt_uyz=8qclk%)>sltwCyZtG;dN_EI4UbZ<@b^8S(K{K- z*yNet<%Y|Q;N$&BZS6J~!d?k7BSO7;V@Lk2dd;*=OZ8f;y1rutR_jv6NyeBMY0hJQ zO8$$X*3ulJuHTna%y3aT0l_n%RF2-ORRWNABrhJn$aQnU^g8x6Ku zDV^DHg5W^*SCY+T`VMx{>`1+a$=QSz`YvVttfS?sznjM{Q1fUuH7}vJeOJw0aZjKf z9ly3qHr9%(6`QZXj!@tdVa$@CAjB`)$<}}TK-$l8W$0Lb&8|60*Y=etY#OR>0xm$% zN_(y3m^Ewi4~khtl{J2*wPlJ~vzA+w+~c22E4vcLi6+xKGOCUC(O)tY69xWyeV>>T z_!Z_=AFMjkolv!%=YFM_S%i8Q{pc*xZ2@GpyoX_@SpwFXiG^i42lKzpLCMrK79=^m zQL~K&<7C)51>0~vE`&&7x{``}6q;&OL*~v6Z3M+c8yS5v4!IQ(FnBsip?Ofb1Le29 zuc{&1c@(~TT<(~G*zhiMgf#1}^FhEnI}a7B@kx>hpJNPOSE?R;p5Hg_y9i=~XQkaP zZtsE}Eyh!lLNL41h)0i=5bksQt-(Frc+agRWZOUNy#!6>sY3>~;`iZTWOP~e$#IKY z(cong;(7zZx&tVSBk*XHKTB92k%BME<1}(Cjzkp7A1OJ8FC!f4wHHz(gnU7P)%lz* zGM}>kjkG_ktdD2PA0`c!JA$SLMUl+?`EfBTgCx36R5pQk;Cq&==}N>=^5gDTRx~v| zH^#I<--zJIPK_fxCzA%o=3txfasw6ZfnwDMQ8&72cV-;k$uFwY&tOpH zV~zt7v2TCJ8S5&{GxdU;te^hhovc7tXEzg9H)k_hMVWu@3q5Gx_2mp`lo5A=5rB`j z#yNu7s?6d4>xobX+Q5l&~-%Q9}NRknZIyq0^;!1M)g zR{Um>feprKOeVnnXdr-)a0ZlBo<5v9@X*lRX_|)Zt~5#L4(GO@)!VA+cU1vtZY(JH>)~UxV5SpIM{N=Y^ofKw5t-+%ssh4;|=0oW&J!rPcXZx(#MZh zkUWztoU-JOY4%ZZefh#=KYUiFYw&Z=!^CBok$*3yn75S6Y$ktl}p9 zm#JT6hT8PCOg#6iDI)T6WUzzi&{7MTv+8Eilh0cwvX`vozr?2M--1?c(Zzy9gU@jui~u%hN`$h= zwqgKfnbr;(fN+umf;_&k~kTNhwv0;yJSSGVPqveIFtRlan(=-!-3NDPpv5Lj$oCN7N3M?PDgagQ8Sse8R>yE>XB0El$R$B5s zt7JF-Oi(T6E27gRgsEtQJu)vjZ(n|~C?0uCxmI2TTo#v54JV-7B2keixf@3vaBufq zWL(CUNP)4gB=8Y2jZ7@w>YC58xU8`pEHJuE4QH6&wHh zN-UxCXe>7YxMi_%^(0Z`5J;6?o7nRPEIL(mJW+Y0IMi~)#ogJP`8qPo;kkT&EZ^N- zO)~X&-a9gPHnwF;8$TL&Ym_PuN$LVn&IgrZb-#JltI}D^i?jS*G?!gG+Zuejq{G8T z)i1SjIq2%Jo2hL@9plQIz>3SA??-k_>vuL{>F<`MCD`>Z9vARkggPWG!fS#r)>iEt zv|6i~IbZ~|7Pz1c=i^nwueL91!`SdP%TV^+oe1p1dOrPGI*Jt3C7+Q7T}t{YS1x>M zQ&wqkMxD);`aDdf8|^L_ml0!Z8|kgOX(>e)W@G7!00>#-dNvi3&}kGvb!rxi>nL**BbP!n638fDH0#QorQ#ZOxK%&Rl1ZO7g-$A1 zKAc0cAFrD`25{~1vj0B#tE5!t07@!$fT|LsPHwN%iV@d|W2^ZU!=(~glp(Fl zunNB>T`j#{RjWa0mlgQ4G`ebWBQ#SOwLxC1T@zQIkrQh-Zq~TY;NB#%TaA&oj3H4Js&CV zPJ?m;J=G!U4In}5BMrZrw&5JpLjbd?E^xn2Zyhk|aPSt}-S0%PzgEO|h&mwf#{}+_*6&#Ucw$45*0p8!W#D-wDC8O10k|eYz&v zz%0eW!QKSbm*j7#~^O`EI(nqh?r{m z-ntfl5S>*ps>;cY6NX9iHy?&Z0a@4niplcIL-OD*YtFdE=uO6QE@(TnGcZ}aOI zQwo9-);mSQf>&s-TyyCTI;!HOPc#PAx&_x%EJNnh?50)^$WL3qi-R?H@$+;^uSR$c zbd?z|q+`?g1y|QRYUgn*X?C?ZTqcJoqT9zV}4@2cn5e>4S?a!`z zcFJED1N+??x_iOyb+r*-I)u-TR>Uu?TF}s;&N|^?-1jXqb;En9j8US{@12aH;)^I%y0q2?lIp@xw$K|)a_-L0%eDM>hfuiM=w z8!ruCFst1#&QyCvoad~TfAC-g8!@Il494b*3dW zd7Vx*gcrDpr-8i`H3b^!C5AuDH>#Y;zcIS!B71;$Qc;VaeVgOGKN*|`dgy4?RYnof zK;o&;&I1z&z(h~O(<+V=I|_)cA@_>D!D5!PtvqPf6|fbHNNHi`V_1q8UQ~0aLqJ#! zr@d(!fnN8pP2H=P^&G6vDucv%tZw~%=_B30SEHgk@Gt@+{Td_37SA2c1rj=;YCnVH zzSYHj(~N*P%&{Ri0R?V=8NFIn?j8iYL|@u?mS2u znkn}Yt^X4vajWgLs!Ckksv0}zPFU3LsGquPeU@?kUY60m47m3NlZ+-fGd-LAaSeX2_CyZAJul22`YzbgL2h3wc~b^S4Z*~h@~705*V|1tIr zz>#g?y0LBB_QbYrOzdQ0+s4GUolI=onphLt?w51UyZ63VukN|8s=I1e^{Q1})xH1y zukZiBSXh-U>gu;Sr;vM(AxYtQPA_P9&nh*@)D>ZEr7}i0_0SOJq|o+&!fHU@Fq%k4 z;l*WMp#DU#?vSG%GTsnu_!5{H!_Bir-#~={=7@Vm*QU>#S*};!$h+%KoZE7WN%Kq1 zmj76}JA9kE$sToYx&u7ZFX@59p`OOFVm`B4Nr3}zGYPDMlbm>c#^cWA>x4i^fNup# zi=?kubU=t6nbfBun2_kkpzO(JBM|%31t}(oFx_%*Q9R3Ib5syRf}-H1qryGub8nKjwZ*}( zVVbdU3?|?XZlhgejgo{G&gF(bE>utSZT z>#J>*0<;vqJ}X`=4R#c%D^`*zzp>Rn6XYeP&w#JHBzu+Wn-9%#LLD8isBKRQY6ew| zPCiS*_^p@H5XTQdZ#EtI(>P#zeSHhI*{E@8A$u#T0V;Mr}!bm z(E%E|E|s3iA2fNff4Z?p(MPs%4g$+v8){9(uiXwag$Hd__=T<-jXDSH_rOD;{N^vr z@LfJPn$+g8Vh42*&l*ESRHEou$P6WG&^p*wH0P6q+4IqU=vCP-)m!(kBhWIVMU?3; zhZvj(?}z5G&^^%-YTO2@)Nu7F`Dm8mCAvYB9v#BJNEfRAm}kJZeFPAFPxXWO1_8Ig z*?0YE9D{upgBtUTK>w&u26TD#HLw2DbeI|uH?zj__pSfj?eRzV%JKDQTZDGawQvnv zGnQA3e8n@sGHU+(v8Ke0@8%pbx`xx4o;)Z%CZO+_*%N-?ob@%@_I}OYrgY`Ye$jK& z@Vsbc!CwKAAM{yvM`)kUlW(09a>eQ7f%jlDq_YFrjem~;s2j z1!LZaSkqO%AJ!*nFZmAn4$BYlmx@t0)Z3-_xL$*>9^g(mZ!~vscl2kh>#5!rpzX*< zI}xvz!Y-cKt?cVIEO{1t0DOALQpzKY(}V z2($<2Ii!~n#FzP6#@_(uz1HH&)Z*%o#TB~6Rq}NY%%{e{*EcnQ1)S~p@x9yo17dpZ zK|a6jd;{$Pf8GuNfW81wU$!IP?L|IY1^@`pSCGDx*B$=b@b3nrPurtUK(~D1-dD^7 z1uJ1OPxEJQNC2ok!Vtj6H30D*5b_DUg9rj3Tzm6tdLkb5!@40105Crbz`Qf>#F2iY zh6Bj<1VWw_aC7rlW�t&oiW#u;Fhcx4zIGJ#g>nJGCHw@Xu$x+d!Wbw?42h-7$~d zL66HxB%k)-0G{`_0YF^um95pHeMT?FHS{5lCm-`_?&PmSZcjb?9MM|b zK<~pbA$}*)Jq4k6ik+!m9sd@P7ND;;a0{qg-iLhCHuwhohVi`5>YKfyguvwsF&Zh~isgIuvs+P*(}T({6V_*n9ULa$8qjb4sdJx7O+6q!=&#H> znF01fZ!HBM>qve)dsl*hD^b7|Ob+DXX5U?J=SXL&{uOJkaIJ#a`vMZcx#4AA((gf7@ zz53DLuL$|^-Sz;#Y(ss>&DJYqu9nK3FHk&MqP{gn_^f%;Ua$LaBfKN*yajASzccK( zfg0y>d@Vx+5`cOqXaXRu`C&bG^;`eFc~vZBgHvUx1GZgJXTx2fJ;3q3!^N zlK=@O{W!x;{>YaPtiz}Mv=)W$d_E{I{2|`C;_v220IfTx`&W;Hm%tY>&kvMm#vlO9 zb8X<)O;Q^KfOxhK1YkVd2mN&?1Of<7-}z79171WtKN4PyJwGB|R6IY@Uz|KYLSAG% zKhRGB?56j5*av`q&+P+%zZ>)c;NQ3V1Q0$6f$gz@?bCtnwRRMM zdLZ5-c2)unAbt6sfA-n~`LR65>?i>DK);(^>-5@#Z-aEBd*eR4UgPiZ^tlCGL2QF| zV|o)l+wQ#e*&{9|up?EGo-OWh8D2mg&aGKyi`SNte*XPO?E8+dV-9|{%FUA|ahCO+ zpxP+`zg}6ZPF^avL&OQllM?Fs< z`-xp|G}OT5=zOh#eQRQm_N5GZu#O?}gLzq)eG>X-Gx51kH46b)A2{xR7DaeN#v{Hp zh4`#x1oFe<|9!;`1z^86&TXz!&{@gPIa8W}?``b;)^9%$=d;%T_gDJ*#K6 z+Hlbe>>)*Q8|9SN7++0M0{!fm;+p*$%p2bu^qu9oa7UxJHc$ZK)9kv%zX$!@cE=vl zFU*CqYs+-g)*WE9x$o!GaQX2!!!{ypus;k92q;VS-=>QHN<{gaVf(*v|NoN97pMOI z3Yx?l=@D6w{fQ8jJk;D&EXi&gT-N$UMA4R%U06#ps@5(&VasNb8=nNDY6Z6Q$Blv= zf_J}e<@Lu!o=zy_cORsD_{ucPT?F*)Uro!V$g4LYN?lHU_;mMNU2VN(-j54l_JGwv zn0rj_&vIicoF^7bjeVoB%1~HX5*1Y_OFTTYKn#=MZfOn^))Vq8>qrd?68YHp1Ffgd zW?-Njdy+VwFTQxLga?xIJt5Dfy03v%bEIz$oABpGnZJxb?h@XHl9a+(Efq2PkDvi> zVA#6&Ag3<8pAYbZ_h8zPTy${b%+yI~ILV8^4yp;G@=OHC$wErWzgII3N>!}j#fB`3 zIXT2R*bsF6MUu;?f)}9c*PPf(Hp|5L;IzT(ePFS z6UgD6QVi)8C?Fdv8Q2S7;a??`?48P{Rt&3s!kQ|uVH$#X?ZxVqPtFPGn zMM)xe=E!>(iZ}(=t=?iRX9!Pt-on3qi zbVZ~l@_mAuEOMdv55Zoa5cHAXERnNc8hH>;*ald)TW11=VB2XpK>aA8S zW7JhnU3{|}WSSzCmy!93W}}2UL1DKJ6nXQro2M=uTwwWSS$}scn)b~))qivKXDz3; zy2G&@w%l5JhNhOfxaxKtC;QZ^>&+%i%hNr0NA#wraPQ9$HyPF+cIP8P1dx#!>n1Sn zI5TNO9F(6L_0_ynMx|Bg^v&po7;o|Z10hk>TD<0`BK0JttcE@{I_gDfoL^wCR{8>c zMvjalomJ8UI`EgtV@}3PrV>uwAm_0wh!@sTy2(WY4=tM;{M`q){H=S?0Lfbmh?&E< zO$I(IcgHq@!r2cL2sL3 zcDm4R<68FClr36IJLb8Qs@V;esBIDN<_i9ZGY$?G?+0==1ijwK3E3j%ILUBfPv|xC za40ZGq*#JIHpjYcWeiWyYO}L!+oaQnqXo1UVopJ5+X?A~2sI04m>o9F`(x7{PP%1n zV_Awdh8+kV8sP@x6O%L*)S=yBHX=!D&cfK-{(Wu-6uU>_h-Quz^J|lE_9leI9KE!> z8#3|+(x^^0IeodFG`ZVHRdBfmCBd(d%ans@f?4Pgp&fLY^O` zyH5-owh?gJBrYCe7I&9i_6upmkNO1{6Z2&f3H&nqpA9kh zM>t$^*Q{iY&A|z_e{ivza6NKY36U-aK*U4oVjw3tShB1Sc>4q>5)`DdJc_(YNnJ?| zklsk<7|@wmtSm*#y3%lzD)GHwu@WXRrkt+&Hj{$029vsce8r3mejb0*D%fhO$6+z! z5P)wd=QhE%9;H1kh7>`8?r6D!@(cNVc;lBTA-<>%cpcCeF(V|PU0C1e+RAD0dJ7oz zCBqa*zJ8?3>434HS?~IgeKr_fuLbYK?}H7UjB+oZ1bud#wSKzA?ei}r zURRKlXp_*tba`7R#XkAQt|YoIT%IT-zC>O7nUDP{;`_CuCv(|^>~?7I*MK=Y1N0gR z0tl$(Unwl4|2kk6RZ;wBh!zq*@fDDR6*2>brW1vU)=AO;icvRh(BRx%nt4zwb;z1QWa8*?_5>(?|sce*#m)Mf#72}OH<6nva4EnEpoCT0f zih}UDQ_K|e6)~v_?RJ*KjLv}s5;>q#XkiH`oGHVMX-oB|uen^wQ{w9at8mpDuxs{t z-}!th_2dHAStY`lmN1xvrXs;>$ut$l34TEb`CvG%DxhJ8F;Ri*7v0SsBWTX9*Texg zwvnagaOAeK${A8nx!PNmtzSuz4We~n8Es_Oqtan*S)9=-7LaQh%-zIstz+tJA&=+N?ts_$o>cy16@oLj+`YCIM%kAp(?uyV4q&3)cPwOzBA6-IXF)JJ%pwA~oe_LJ}rHXh7kxn95T0wX)Durmp$1dUPQ_+&9o02!@}1 z?oo4;*t`kCDKZv83ZY;EK}q{1xNo{Y1(~7Y^jPHg&_qUD*lROXim=1MHL&D|mUtD= zS{8JBs*BjCXHgkV&}_x#)aqRcJl&+{AaEf_Wymwhp@IU2u(;9XNV$HJ4TDyb${dgQ zW13@&pS2fBFK8MG4KH97Eyj&k9la2U=n!Gu8Kv!>mo!ucvy(ELy;>2rrB$-HY7q2$B%_-gB^I!hQ2u662;5& z(qaE>Ty=hHWdA7R%em;6zUAXc^s(m!0mUxoy%q8%aHeOaAiRSXE=w z%;(D!BJfu&M5Wz{$GKq@yBaRr(2Kg$tSX!51n?9aFn#)3Ub8oj0qN!CxEYKd3u?%L~9)qcK2{|OzhTF&msxyGo z3Q6_e^`zPCNH(4yz=i6-+;sG>hY|MSg)T$dsG`R}#!JfBFqtktk&Bwq^w4X1eHxIx z?((;huKAvER@!}JClQfPQ#R7Kv&V|lX9#i|!!U)rw~?vLnZ%dC9PfLC)YO^73U>Dxhb~?y9G>G}m zrDx`u>x$V+`%u}7M({N5s5nRyENpB3r#-X#1paJI1e%-pX^0&@JYutr6gr z63iP`2;|B6drOMB%KkG}!sN%R>>-J#g7j)4n}t}psEe3jELMSa%)S(522fT#2uadc+5T${-oO4)sm_XSVw8MwsdE0+1%l<@9(Dhz zT>K{v+Tcr_^Uss7IORX8U~sTas(N`7ITZDh6_jMf!?#sJ!Pz2WA>Sf!zd>@X?%-|-!7$ovy68*RuF zDgsxS>G{!4Vn3d)Hpa$UV!BwVz8OC?c~Be@GJY_*B;BT}nK7l_Fysh_9QxUX>>{Hy zHJMdokz&JiFKrej82Ve)Ft_z)w_oTM%RF56gk4Jza*qTI&kSkVbYfy}(RlF|1gl-_ z%Udz@IJkg%*~6&hb|C3=F0f#1=ykcmhEBS&VschxwlYyW1ump^GpzoiWUaT`s7a+_ zX*6Q2_ZChsu>xh9WbpSQun+99h#wquC~Lk0>{QE(k^JCSqt7`Ps5W%coMIQ~XQIL*|>E3B1TRFgT;|mD8rKp2^BBxupgUv<5a;MM!+Cf<&wa z8krKjQhvCoV~0itZ5dw%R_^z$_kzL^HMdB zb!BHcK?o7uy{z!m8yGoBT4)jt)wrnds$P&f?NtzMb`7j4$RCii1 zn@eD~l3Ol*i+ZcO2+46VoMY;)8m%l>sX;P@J7 zSr5m3^U*3YX3p)=Szg~mtTn_I1;gmDm;0B@z^-;-@S$E~GCT4lt%e-xsrPUekrGcR z6JpTqNZSwjkudJtm6FZTe5Z13kOhY0$U=`VkAVOu;&|EN^W5T<9-`+*BMb1(da}dz zTjG=*Pe3+YZJ;*S*zCU`g>;-lc2k*Jj=Bl4V@!zJ^ec8`|})q(+-j&nzr-?j>msR<|ISE-b34t$%6S3;UmG~* z{tQrkKct6xmjgX^2;gl=h zU+ECozHgyxu|7H^5#ud%%_wzHIf9jLwLR)QJGwIX8EUZ|isiAshkZtDJRPh*)Ii&Qj)QAK%`IHo5|EtNq7&RB zXJCE#Yy!b+5BiLRu5(B#Y0F{xFh{XT{v4O|ppY-8-6*zfd9ZGih{ARYTkl7{W=|}) z=E%;*BMNb>yw&HcxV8SPmDm4lar2j9hN7g9ikR|0g)KRG{Xbp2n3{xjk`(j8 zmyoOrmw8rGbZm@T(`FSrcjNKCf0sO-43;^Idi(;1-sWG6_&v00_Dlmz={puF&%V69 zJ{cK$SXtYc#@JMrNm$Jf*L!>(-KHluUapoEfaG^=zhv1^^hdB~EIMWh9#RV=88sLz zoB2MkN{qXOoH)=FCQ-6nTp0@<(uf zMMC@0uk@29XPDB6BsO2B*AUm7VT1#WE%4RkLN0Nl6>Y!9N%*CUJ zS$W2{F$Gwj3cHwz%we_yeqM&W4&IB1zyi%Kg7wKdcD?B%knp_J1%)Vg7=;D1UklLO zEsBG;A#w8=Z91K>SxXV(k`32FYN5zvcsUu>Ylk^={+8DG_r57u5;b{lW zYTULxHJ16#r=%u{uAHf*qOwlwu^G)$`&`$Se_pYXG28Uiy3}i)%7*jD>c;4C+E>In z`+Ncs_*KPWs)cV-pdxtGsGDHK3atn{ips_r9Eeftckm)K(i0*d8p2JFB+a_a`#7&# zR!0+)CYXm-FPLj)qBKD_dDSNrsFUyX@CsvO0KnBC`_My^*&PfqIt{}(ozW@B5KcmQA`xI(f;j>OI-OH3! z0P(JSvki;;|xRv?Rn&_AFs?>yS+Z{UkJe zAyk9<1~JF)0L5bJ(YePh*}y$o3ig+trxx`f@QujQE1og@gM6;r@k^BL(Rs=}YQ6Ly zqHN01%r8;)ms7ChxsK?%6BLWRhlwuam^$R&p2K6cE`fCIhz%x)x489JNEdElM6@Yk zfoiEjjzQT5>$0Cj&$%YIsm4f4Omlf1Fv7W6fqbLegP&m*0B*3~4ZIAv?X>@BbUm12+VIAnB%Wu{~kyWD18yl0-y z+s3{WJ2#a6ch9Q*$Pg}ta~mwr5HnS0&Dr(%m0AuN7%i;7CyM9SY-*~e4x08h0)vr6 z-h1ZcMwl)pjRwkiHjFs)*8|8P)i{i32)uz6 zcfy7Gf`0*;#4MJJ@aBd_<*R>``naC9@Xm6;T~c*0pKx&4jN$b;mASc#B_No%Pu1tmM!S%#e0J5@_Bm~ebn zG>nEx#LfBkC)g^rD=e^b=DTaW+cui(ToYEBEGSs0e4fiOj8S$^Dydm#5L!|Ka)0=+ z3OO`44%(**7vGWsf#INK_F<+YN}2Gg8l~q7q)_-)3%aE=f(rC}+YXk>Rw&3iC*xPw z893w?tbHygV0w13V%nqOhJ#ndz-#x|Ll=aY=QS%kNJQAc-qb9*2e(jKGD}Dy3S@#! zT43>&pSCeSGJAPYD6nH8uV~Wx*CKpbWuTKAsK*bN1HXcvskh6iw8JW1&8EJhrGlFBp>~y!F7hF+$YFiRxQLCQ03xK) z6pk<~$~^p~Ud3sPgAeWbw8l?dWL2O-`mERo!+#oB_k?7~UJJc#XiuMtBUjmf1n0mH z2^w)Ew!kbtumXB>DuQMxYC%6|1x@Vc$JrINB~v)33CReigq~RaIs{cpk2Vm+pC`yXd9e>#HmB1<92KQkv@o}wcZm#1g;1sx zSF_+51oKiA#C!hJpun#b zZlIsclHU`U!W!T<3DNU4$HWfhGYph>%F9s8P0Jg5i@hvus#HG{#5RfTFw{o3l&Zxuxq2@DS1qnA$vmF515 zco2@_FIPDIxt7|jutdo?q1H)PS7n;|YVwh*d&$ZQO>81OstxTV|M=36 z2ZR-{J3t(|5TfiM_XnI{nkE#PL$)i6b%Og_|30Ql`K0@SqZvy^hRpQzmxVDQFPu*Y z>-d*Id^bH&o2_knwh#**1-m5ZhQgz8;3jC1d3-0G>Q7rR$Fr&Au}OSNt5)^i0l(GX zfEvO+RFIo2eZ?V{5rpx8Whkk`uTj)L$#g46Nmawx?fb=91;yxk=+@>7E!s*vbE?rK z$=*3xSa5X1v-uV{yRyPr&;+wB!TR?vNZ&dCWTaN`4x=bx$L)d*)I(IsCKwo7z#@!z zV||B0OJXOlq8=*#(O^S?S^6R^Wsp1nkjRiv7*wDUr*mUD_rP$P(pHsNoDjrqF+lW7 z^aw`-?y}SgD`hT*!0^HnyL2c53}-2`6mG5wf%{lWPj6qH9hq>N>HbPJm&!V0;kEpX ztJ|ugxFDDbBBWRA3s3`(v?mkXm@E*%C`8K7N7>M_OJe_t5XZqCUC~O3h1_*KR2I$L zc?iO8m3Q%#?f|FhwWa??PkFX*>JrdKWvsi%FU^BAT&*K4&J$Bfi%zMRtl>hSw^7Ne+xtkb~GRtA`eO{$Ad4^-mX4@SL z1MEv^+wkQ^?9gf9bq<5&A9Mzyt8w?*HaDK-$L^m`0dU1_PtrMVQyxf|i-QfybdFOQ z8)Z9m?G|3Nm zab{HsCpZv^>07fnx8p5b3YC1V7?jAxPxd)SegCs^+zj#o?$ zhudzX6MLe>yd*Lqb2{YozXBZOL}=q$U-0j_A~9~ZkdnEZl!_%&cJR=h%S&V#b9$*O zf%T)rAW7`R!(5iNe@4twoI-7w7z?1gD?|>=0?FPUt5#>$guqc2gIkI10cG zWDB-XGRm8d*0KPK#w%c*sqrO<(gQvuEGvZv+!#&xfv2U&}vT-wKC z_5Q?ltgqb_o=VrlxQ{MDb`cJS6A5R#yA;FymE+|n*%W8R2C_r|dF*Txb4QQcR<|-b z0tN^c<=-3`6+IKDIE(QXKc9(s42eou!H@Z-&4IFMeIOI)Cq`m$WlXl!o^awx`YpmI z%A!RtdXf{z{+Er3Yi$A#7$8)%`)iBh<(Q(cEhNsbA|8XH62K+)FH&MU8&^gl`ur#o z3?7+peb2;M9>jeqANdMwJFv#Mak$#`wORW|UVW=jUw-cRC5&#@h^xvJ34W8K6+bRn zK8<-7IfoQ|TNxUyD0EmFUjRV|QP{=I%+yis zuj_y6T8x&Tx5^SaV2i_cZG<)?Mc5KlOiXqmB~a4EUsiiO1qY_N7+*bv&BHdxQuz*) z&WRKMQ}A2qbiL9BVhL{M0Q^RZxFP3a+Z5ODt8yo&g|_X_)s4eY7@ng;b`M4mWWe#8 z%hii-&(Vg{bl1y$#7{(g@H#~(9vHCG=HPuD&^3%|k6zpujuGR4?@ev&{G4TC9M_Hb z908U(ojP4pLiQGA9-F-wQ<#l~nmcr~3zxmFwwpVzlHbXYU~QJbA~nE-16HL2gw1_w z{j2HESJ2RmB4K!>!N&Ol;+L@rv1dv6wgQN>RNede?dw)>p|3kW=P+;)M8T)8v&63) z0~+F+t6k_yio0P{c{U#iPK2nC?53mXG!!Ffc+8+wwc#q$B2GY5y84JtA}lLGk#BW{ z*sadfBuFYkdT%?f%c z;?4;(!$kj3DT8#5tGw0xVX_w5g?u(@A}*QbuQJ3YYo5-44sU^`*rinXKv(*Uc_`Yw zJ!~Lh^+yDuBlmo-qow%I(SC=PF7J{{ruPGjhEWk%Jr=yw`2Wz3$sT34q z`IYulj^)vxPke@DDo*wYgN|ub934G3jD{T~MZ;npXlS1^iWN%cTN%kwm6E`$l}=*J zR^Ukzy0puQK6P+_gBs!>>)2@!f{UMZtkk$^W6sZ-aSj_7iyc)pXPNHw0$Zyx#9f6+ zb>kt?)h%cv>k9P^(dEky7V(dh=sE_}OF6F`c~al8+8iVzcI>dRbBwt6BGtQglK!|> z9(5)LclA$ddn5fX_G=ANnj!l%dV`i2D#q;~L-(_$oItU9cM-j@TqvG1SG6*e0tsSc zhJXDQ$Nx@wD` z=A2G2!PX}XB>*yTPV3oN1#HZj)uqxSpkk_(u)?OoFcEHY<100X7P?&}pJ)Q(-Ahd} zBpj47zmZrXMAY(f$Cj7QNWNB;KFlAr_)k!a+81}$ph^Z3r8+~HH{{yH%E=&lf1V_o zs6z5-zQJ9-B8Y!9MAq)`vuG0{1RH6asEAu6Hx39V`ET+-({ejL9ZI>k6pJLUPNW9m z<%@Lk+MOXh!lQ2wwtUfq84sEodzP|~>WoH$Pl!?ql;eAYyc0dc<&jOMB&znVcfKd> zMh0nHP;tnTf|k=!AKSCnMj5U&I~#xFez}FJ^iFaM{%rP!4BgD?f##hy>Xzs$EI)u5 zQ}PZyuV`qZFy*JNjWLqrlgEw@mT0&eEu-6RPV9|Sdj}IJ7CktS^sseWerj=y{m>m^ zyp}l~fSU=bBtgEyvX4i5^e&}C{)1XB=9y$JYIm&F*jfzML!s?yqrI_bX-?l`NZ<3P zF*F47quTX7ww0As??#c1soD&#+y6xa+jS@H8nin&5+2dfCTd)lA{6{Ks>PACbn`Y*_tjmY*C>w2X^MWCt( z1wJSamK=NdCSFIDNGC`|q|ImHrtAyS6vV0KBC!ke7wm#5C-LMElH7v_m~Aw;Hw1}J zSC*plH~aXa-Hf=mKx1tHHWJ2AiLi}45%o3{&53yDo}$`-8rH=gwA6y_z`X`C4(1RIWw--nJkodxs6(X!ce<$l+>&b<3-}-mG!ZEq z!^pfMtg&MEL_uFsCI#f78@k9J86(+tBL#CP(#*s6e$z!> zSVmr;yau%n2kwbTd8bj=q@odi?GPZSPnITtG$f;i_N|E8E`Ijg9tCV<=Fiq-YJLi8 zyNP1=bU}UMG>wS8Uv!b{U$h&UW1g~QWwfU`JUeaQ6=u~-A!tLtJs`fTEzpqMsL+=S z$A$H}PqS3}Ili=h`=o>S_5rrm2`0@SMAgr-%AoIYISPuYKB)4YAsC%<vq3`TF(OwYjB1?|T6lhY-9zRT^zco>G}6 z#rO_}${gkRNz0yd(C2Q`=gdxH`{zTG*~U$!UJV(4>R@-&sq__2nBI9<7emB{mX9!} zBF!O|`Y&x)$YHt>jq)g{2;ZJqe);3hAbLkU>Ju`;?qwq!yoKAa=ol;>SHQU+&OiX3M!t>I*JrR5GRJ^U!(k%+k| zoT1_>_kM%r+{G(cBksS%#GpQZ48V(_s7PujbBn-uQ9!Cyi3GHQRUC__ANR@5S^wzG zcS2V@`SIffN*>B@F!wmB-`p`9Al?RqMzS)uHr1q6WlN^;xuMbOD3CNv_SxL1I9a~w zb{ZotA3S_syd93>KwT2)tNl8`6m{vc2Q!J8S%jhiqjf97-$j$!9b9El=uDN@sL%#y zMQr&3`B`plwZxOrPwSKQ$3$MaT(l<bH$|-Yj@oQn>`+PhM5Sw z?Pt)&;1}wrA(4>1GD{~Gz1N=Hxh|d)gUwqZ->7zG^M23hNs~vaO*4Luj6d%JF7v=v z-vNXA>=w(jlCP<2FNx;WZU>P0U<98ld;*cMV@z|zqU2bX+o?YH{Q(V|*CIfNsW8nEE*VUU>?wv2*CBW^-4G8kPWOHw#oA83*a(&$m_l87Yj*4DV?F0x?|#=u2Y|WW)B*dB zI}r%Fu}qrL{QN#WnM9W=ysnZiJJstoTb%DC0`tKK>Qx_?POCY@Wv_3_KsuGnc8!(@ z(yo)^8uYAW?z;Rd%_RXj+-rGnem1DLKN-6!e~M{yS0;yDHzzw;O}Yw}ZcX0PM~3{! zKY$GZrU|1OQT!Z*wsY=V=H~bl7jJETE)IuHT&h`BVgTkf$pePmjQ(S)NkN6ARd!zL z56OXvBt_EL03ke#a8CZhZ)&hgI>bCP3i>5f8tK)CooyzEwpYrnD5f+M;3aNH7~$b3 zW|29viw>Y7SU0$Fzrr)@rW4SO9vT`5H|7G3Jx{$<*UaIwde6E)%B&WVORKoEFi7W( zHUtq+yTSX~Pvm*isu-4B5wZQTAkUKU>M^A28B(SKX*1b1_-cYSF^f*PK#v@Rh&aqW z(1i$agb8R*!9=zj(kJ!S@o6z(PDO)9Ha!|mvYRln=Zhs4xH^?qs8eqzC8MV>$0Ow` zmXvf3$DvXXN3XMRhxZR&VC(FogI9d(Wet;U%>Ref^#Ngo?~eEXq}(4{28rI$94}$9rmtcNYfCH$_+S#J84CgfOeIJUeT_86yixl@6KDM&ZxmpeUd&cq4%wd2k zRaB#gYgz3{9o2^rii=hfAN%QzJ-DC-DRo?mhC1s%brKqtK=}99X&wEmR{r-1d;hOb z&_Abj1tTj{W9R>?TE_geS6EO)8_u2hY{p37IwTZLqp}0n00(yo7LBH0K$Rtu@iuLD z$kwy6UPgHEdLFjVk7yS|74RnE4`ssh5ReM;Qilr1fLt z2TpRfIShkwis9Pcl|hJXZUl|4VfgQd6s#X6D(*Z|Ij#0^VTL!VVf|oridE1TF1wfP z4ds8umFSX;*wc&>F`7}+D26=!23>RUXkBN+HJwY*YOEN2(+IAdL^72*j)5+jxWsCAyguJcZ?yy#LK(I0Oyccm6W>8TeOopZ^Ya61TK5l~VXWV5j0VFK5&d z?4hf*MAI=?4lHB1RSGZ^t>zz@Akc9rbur<(#`9P{RluH5>9Kqg1&m+5kK2;CU>{f|zb4pyft`|k7? zv!UV^eO}1#;Ga9f>7j>n&#Uf(SnO+%B4Z|RnlvbK&5$HYq^-7LNqRpl%CO;M!qy7& zSGyl=g9^nt{|JYKTHm=`L~WSb;C8bk|0a|Y8-|Bh8%uB}Sz_kkwIO3$e4r}VB-`3@ zATv#?U9r3lmJp7RC3Pb+bGsJZulGq0tJt;RHPJW`xvB3fOYo@DB#aPK#$`+L(s^a) zzjf&kUQ4Q{hFzTD{P(-9~ zB^n9TS%-=PZM%mWk0Vj)q_d_t=!1h00T)s^!97}Ke@QXZ5`V*N#eGL46Sf*pkC+~R zVn-vtpv0Jy36vk8Cn#!(lASh$f~#X@Vr%j&Dpg)=JL-<3lV(WAFPnHpoS)$9$eai1UAB@u=we- z`o9GwFgcv6$)iOQuuQFLu@ubcGV8=f=%F0VSb_8Ezpc)X)C8$hEf)QxXBH9UAPp_|Uj^ymp8L`c)nW$in;eHXm52{Z;q@}tA<#vtilu!6>b{Fw_!R@-wSHwXp zdz1GI52OM2FE8_Vef<1MM<`iaLuRNmtUyDE@p;rJfAm~}%TH{)_95Z|V>Z-W0v_XV z;3FRaBQtMV_PMJ=7Ss=hWMQ)($0(5UKFW9%w+mrxZyI*ft}`Zfw~#<heyfbv2O* z3FL9$F3#cP%mlU+(Mo&OKalwM`omze@XctG51qZyMz90Z9H`cv0$Ti8?!=L^I`uet z)BVGodFg)c6X5V5#RQ8`i){Joj!<4p)f9o=n24ejO1oQy`Du@sUu%W3&_JTch0>;% zaNJ7GeHVd*q+#`@Le6iKUXm00&J#Ql#(2yb!k7^iaJPepC^oXH@>8@XscJ(}wqgcv zZ^hXgKW^;%Fbj5ZAMQCVo5b05YplIwzY`5<#-r)=6r0jy8Kt%> zMh0(;RH-s{nXHoRc1H7&bI}d&3gv?u;W(x)u32vto{}u@_eejD5v@)Wh{;p27eS#{ zK?+{86sp5nTW;N1<_N;HU1pvy?m!-}lYBDEXi>NkhF-}KEjPoiTH+kZLJWl-xLlf} z*}8R8a8{#EwJN;8!VS*lPxd!ht#3U|jd4YBWytHPIEI&v$ueVv;0UXgYkv|!hj>kY zpnART8AsG1D;m(VYi+C17O~ds{uqBb5(OVWh>FYYFum<6y(Bo(H{EnORi62?tj9$T6xVG!OMNbv-+bt?n1NeXoD@oQbR-`7>DCvCTBMSjEfOLk19@Gx=R3EhuOLv zc1q)u3mwn1a~F&LfHL;g^fH^a{Q50=g>`LWx;;moNl_EXMb*kRN2gE54&?JNF|#3% z{pwnC7Ek#45TRznh703O#W9=p`a&ul zKj3CP@_PKd6`^LyczUU3v@?X1Nms~Ay7A^xp!Gbz+p+ZDvGZqGI-PMgPl*@&%lNeE zwrLJI)9QAOF=*etYW;2_zE&)p0XMtH+aM-f7%uZEvgl+vFb=!c0qKkjyev-IP#(J^ z(i0G`Q#bg;x=_lP@kEm@tUlJ!*(^oPs6RHpPJA5Aw_5iCnrwM>paq7-Q74n)XjyZA z4{=mBPZl{Rp;Av2MgH`v9Ul(k>S}BD(sI4Mw6wLZati;WDt}iddIuNwV*96g-o~=S z!{%GuEtN{~(?W}Gah_S zam-5juul1~mq4ma&6UIQC{<_HHRK96&NE|79Q&o*z6z)?ahxvvsVxp=LjkkFL&xZe zUwYUWZPv8RqBlD&i+<*WwUbA+RO-AEdOA$ANAOkf2^yw6!!G@of~nR*FKhV7$$XzB z+c*2yERD?!%z7h8+@6e8z7MuRdWqk7q`v|}us)#XS1jzOqqdJrZ$?N2aF0#s|03<1 zgEQ~8HG@t%wr$%^$F^+qP}n={T8u=bX7S=T?2Erslp?Z`J`bn{oWn*H|l%ilb+z9Ap)`Q0M$(c8K-6VPQkkvesFzmmb{ z=&Llb;)qI`T4%T8tgu_5(J!aXdb3sh2(I;(+5bs!!>{oO9`>mMa+8X)Yu3ySKzuYq zdD_Qir>Nev(z#<;C1Jv1oU1=+`98NW;dP2;|g6 zMYH5edtT3-p!0vyhP(0bw!^x~qmiPrO%4=wy{&ARgocf}CAIM0+8jj&btG+6GT@f6 z&P3ufIZ%7FK7THrKFzU$W{|V`5#NX~_S7z@l@YvQYt*#1eDUptFp;V`qP61UowzXE zaQS;(b%#a!o1Z>C@gGM+J+bq%unW?!)2n3nhXdRntEUl`bG*sW(bLYw##^>58UBe8 z=~c<*1{ICel4VXpyt%1d-bIc5#>w2@N1E#qk~T)Fy)J8LwrjmM_#(Ei6pnjpiwe%E zBg{*#;feMei$mSQlV(k5<5()bW8*!(m|dx4eu4+y*$u;C%r&c=F8lMGML_d8c@GYb zO?j$oJz*E2YRp}&>?yTu@@25|miSy1mir*p>s-!hPdC?EoldpBq^cTSZXk}PasxJBB}aGG}r?$ z9Tu=waXcs1p<+(i={^sEcn<;Wx*ymFoND*BfK8!kBaa`fZ?X*^@BifLBQR5Q)PK<{ z09gO2o}v63+(*g6(?rfx-qFIw!r8*rH8)HD!EUx zXkhe_ve0-Za-^Gha4$mGI+WjeLnMf(N0d@KoNA=zHyI*ww+E0v&TcvXV5oO*SKgL0 zFfq$9(JoM47|5(yb}Va#HAY!cYKGg4Gn6wf_c!J&xeL~`G|gt;Jmsjz3{~97^3VxQ zO%9Ls={|F*z|>8GIbnCoVH$*THuqq@2uDQ*0^fLqhxX^eMQfcS>D52QxzCo!eJ-5f z+q-z{eg324Yqyxe`SR6{-eWyBIPA|itPR#sCO*2w}b?J(@RbUv>7PW=J>+mdC*%`f3*oJNp-&?Cj}DC5fe zsIkk}?-e*Z#LMFAt0MxA<*yOlJS!7q^MlAUj|Bg4uU)Vp!92%$9dl)!!Dpg(AYM_2 zjH_-Tcgja&nqHjJ3GG@iY-?onJ+sup9K@%=wyp{{=^I4l5E!f73$mkVyMnrPe-}Np z!McMPo3pMW(hCFqI5+y;rABnkOu@hrKMlYy2AJ)#Q^)S3X66>cA_H_POk(>2f|1ZL z6}9$q(JwApCZeE%`ODAP4%>Q<)@B5vL#sBjhA;se*;k%z`Gs49DFZY~^@e~K)vF+5 z^|KGNUoKcXF=e9lT~mGJfdaz?8A;pW-C;Tpt_^+F+jsCXh_fl!zfq!jVWeD(lz7n? z94u~<{jX8sAC(cBatA(ZquqvMoGsw%yS_cI!C0s@n(G<~h5tDnHAP4rY$%Xu%XR#- z+YmjMk*0fIb~Xz=f1q(0COK%mJ6gA1yYU>#P*K3Lji>g;qL(bbc`l0(?6Zl~YHh`H zpN1v~c5fzt4~qTp7ns#9um3liuUGYk#3=bWNTSvi~a zB{^Lxq54L{gB%QV@Z6K6W?NaBaIOdBDf!o?j>j+DW*?M5Gz%l9tykqv~#EFl}huoYz50NRz-$V%e?ZmwCjzr zpABWKQ*ql3(&EcIi;iM<)-=9^3-8}3J$gZFnq%;I7+AyCNfqftvoR$l7MdbEq#H)l zCr~ST=5*@9lf7>`s^@a?6)&9YHABW?{b+OS;&z}AbDZLv-vNlt zJ^gMlq;X=u$P~peo=<<$b5{dp-Z|6B=Zb(7GK#V*;bP3l07a3Srx-yJ;jgIKFBB*o zQ*Q=*wb1$A#65B(OUVkhAa9cy8)~)w6q608)9I;qMq!n4{n?IPsobUHq&y!Z-Qd8S zC|!?eMSP=XyA0_eVhBa@{=l!8mo-muxtk?~G2p{vpFa!Uyigf?g&gT*zUqD+H*S|< z>>)Jg5pIxxlQaW2Y81AO=^{$uce$M%h9M zO8~*Ak1zlmUVaa8FBp8$$VqI#Tv%w}8|#elSTr#uS!XtGbL5`cQ5^1=6gv4UCV za&Zmnr{I&+i$~qZGt7^V*N5-jJiC0&7$HukEr!=PONyQ)`H8f|db5)c0M~3a?`sC< zqkBN*!9#CsWBlk%{lIq7)m3xWj!4LeF>-*WVnhzFmt_ThGBi01auW!WE8M{=b8Erc z&oxxG$~cIbMr#xptKc0H$54RglKuCYW4=JMjqz)s^<%|pe_L=jlGdy5Lh}CTHbkc? z+d^%>UQnM9^^Ya7z&dsKWNvoNcoUBjGFj$4ef8+E4DIke%Z>8-8D`7zPqjIB7keIl z6cWvFN9G6WhrKBG%E-eyA!=eT9%oCZgDv?j^kDN-8j zw>UFj|FKH@tr4O@@ysxQ&^o%gUgCGNgVoL0W1k9g zb}JHF;0BKZ3a~_=<_TTjs37_s<)uTcjYs=>dbLb9S1GgQM>Ln0e+-wKeoFi-)e@48RmY6S87R~?U@vN0A%gSgt8D;s)V71P{36K!-fA$ZOe_|XYN(&l%QaFg z?ac7FHL8L_0>okPPl&Ab`dePiJ78XMq))UPTd9Q_EWM3UhO9lXlZJQ;`Vn9B0cW{$ zHaH9VaomJf6&i^Zs-yx-)T%0xKu1yhuw&%b8Yx3B#p*6md2}3-J+%UEI}+Cm{=Z_! zAEdSW4vyi!qt<`_oiqonp3U4nBBciUjMA#k&Mm&n~ zlC$3KylI%lJVy)>cGF25qOd-vprgQ#*#q`_FFzsvdgg)56XRRZmprbHD~aV2(aJlK ztM=E;mdEvjlPkXuPhfrAEnx(N7_NG7Asz1dTbYKfDR}FR<0G+C2ECKjgFydhl7Q@= zEkZ8Ehp-Fh`&JV|6(;c#vG}%2RMAwAZup5;mnv6~2*$uQwI+XT7V5D}8K(;=6cv9I z5AZ;$uW(Xp>ew}TG{XYVC=8sssCcPe#K?(s*K6>}%P29wfS5{)T;O49e)=ye)hGSb zsN*dE)z(3L=y%CG71X z+3#^GPQ9XW1)+Yv&TMpvM~~T&@^ioROBPO~7>+$b%16Ve^QD1c>O^B&1kIrYO&Eu2`3PviAE5kiDwX_NrtE)y}dGy zD@yc$mhdBZUJL7IVD}v){WS@u2J$X?QwY!bP!_8FKw9M#mz?n@?yPga$$KPR*Re18 zt+DEyl>Rw~{uy^`7?b{4OYgR=b03Vp zO`-?SAUO_=Z1*hVC{8{Z0Waq$0tfC03qEsTNSTz8?JgCKvAr3_Kn{bQ{c|wXFP*GY9=;YDkngSElpRY&ObaI6AZekoy4~g zCvKH!wlP(&c_a7Xl?(AtWZwHtbX|M1hSz(O=hanTtD38-KEeKSGE6~nEgi* z|9?tSg$PW(kuF#fL8SeMNHzL7A0{h;AteGfmSyvO4?2@hAw$D? z+@g)RjEx4)~ zMg?c%nZugZO%{FbJoNxV6ADV7_g+qmB`4c zB#sA?3f{0Hn0R#i2U842hEOw!eLGHfappiwo?O^J9-#(@re9s`CkWeq5=e_Ba`dKL zDf49Y4fI*+cigx$MVKgUis1C}*=tP=$p)vZ##nAkH?K+Zuo#2AUdqaBx6Y0a`$X=) zIFO7<8xnKzJ3wdm_OS&*_9--EZpDuIwO+z%kY4?@OQd(x>C#9z{V_(CNc0f9u_Jb9 zFJq5Bs$rD!1+1X5I)Qk)oq=pE(>0Sii62>mq|J{2AtNxzAQ+FJZ z#aE;=X|}asp9)!TIRR?^>CQp$$rMFG+bpABqfGTq(?E6>+JyiH_K>VY%X0^ikAUwv6UafM!`FM4n-;a*yf$H2bL!u38ZChT~i?J<5 znrs$a-1}7F?|mAEts2gwtbAdJyQkHb9$gx8($_3=6xmDRg#094s}+ZB&>FODnO`k! z9#}!=Fg$CMEVx@w5*|XVp2Et)dxn~hmxmd?S%0kPL(tmCbB7y~urX=YO8b{Lm64zLvta#86)4Z`reTW3+-*Z|CU7pY+>(Pdghh0w_JCO{jBS= z2`PCiz?y^UvFV#B9{E8;xe61n6_=@qoloUHy$|OR9@dTn)-<1MYpc;Vwoq!Y#<|Hb zi|ZjQOkEDiO=~Qw%BK>^8#))$+bnS^J8BW^N)n*ej67%)aw8>4)g1m!NZB=+(>db6 zREeKt9n8koIL@ReMv);BGlULuQQC8^23o&Dtki*VCH8KvUrVcAEg3G5tnCQtC}zk) zku`^4Z_!eenby-gqR4=UGj-+@5(;k{vyY)duGR<`__q=Ryde}~JPJp`G?$r@tcYg4 z$q|XLWYStW7AL2_xtE)%2pgFN??B}%V+4WVe(=a$4m4v;hw)JV2alc}o7{(J>PaqOnwo}xk2UP;uG)U#lgfMgnAyH8MH`w?jg4 zjD`7(_7VI1Eefe|m>cv zo++y<g}eZ*AgCYi;0SYh?a~BsjY}S19+`u5loA+);ybUVxM~Elc@tnuh@(hvSm- z<6erzoduPNKqV!&yS6Y#Y~yk}9uUO#$J;&bOgSvv`NSTdSbDmC^ZNnwdM&rHh1~GS zx?&*5bZ!7$cZLqw1euFr>sdD$NUuyrDmla7?FWPox-mqfWrQ-0*EEbx1m4N;^ic{2 zc)83W2Q|OJ-}Q$~x5QgvgNSE`p=H_Nth21ZWf{3U;QC6-O$70y3B2&NbA3YCTxaHG z$0|(g7ga_01pLMbo=cR#=DJTCf_#>PWg=-lBy5j4Ap!=yHgK$vnLZUgMg$OSI?{sq zkOVxhb~*$`rt2Ye3tydfA$9k*VoJPwz@;D{4zC8g;+6kSv$FaSSOC=|f|)SRvwFFa z*(snk@85oWtI3~jCH=+V!>mqpmre<3r%T+*xZh3`%wago%%h$LZ;aplHN%2-fFO)e z8ckKjzL(256m(G5TwMjD&%(2SPj3)>&}?mXT|Xwz0>HxPo0;jLoth3Gd#TgFt{pf( zG6CNVK26T%%cbNI$zOLh>4v>60=&B>09lcvNbndc2!NR_9nX(dDM6MG7|&(#ZUNFY zJ9G zv2-b}C!jJ>@r^U7L{L9x^a9a_T!tiV6eH_Ykd3wZu|#@qQcC4BFM6)Vhy8R04$JO` ztPvwBM5v^2DrqszU7nhl=wH!o)k)hw3OZuuJ!J>>GVc);#MyFF=N&7XA&cRFF6Me*HR#?>0Cbsf3WTQtyR8aU?v*z^&n_YOvm! zq{mqFotEN{d~)2A&VabS+J+Gw7-bc!rQo~TZc|Yq}8;*;qJ!ie<|OfqLyR2$P=hio-1M6i-{cu%U<%2aTq#Je8x2o7(0RUz9;e z;rnHum0g>d*G4TrFV?!~6M@*{u}$(emt{o}IS!E%-ftXUa&4QXjxOu00q&f{;Ph{L z_qD5_of9tT2FKGhJ&|@72R;73*EZP@Wo6Dh*)!SC{r)oIcOYy@?=Mg={ojhajsQ4; z|FYn(1I^dp&e4qC($2-z!o<>q-rB;@+U_qjkY3)}z}eK!(MH= z4-{S_Lwt*u*_XysNh_2ZDchGLc#=8`wL6J1VRQy#YnZxkqU9w?4twWQTYROn6z0Ql-%W^^yqH>FBU|Mm3o8C_* z^M;SJPq{yBFqbWt(C{#*_;{r-6{-1ds#-Q<>du3C;wf5qCC)Y*d!=KM_3zHdWbn6P z5o>im*~sv4N#|^1FtS!%TKN~MKI6n@Gp*6yA#6S<^2XP;_sjSF!Sx1_{I+7QE;z^= zIerJ(wmuLG6;hfbfu}f(JPF75C2c|Jx6nO3IjF8{d)3zl9=~gH1rP`7$SsfYyggrG2f2m~IfqW1}Cw=l6*G z-9E@NMf55QCzD=sw8;LKV7Ih^WBq`EfJ(nS^#4gw{<~nQ{$8;E5~z@!t*M3CKgH@I zKPKD9fPm9v0Zm$;)9wqD)0?9}+7H%Gic|y<^P_{i1RIC5W}l4K8;zU<&Kn3nc)3ti z629ZyeUN+a;p5HM2NYwcJ`lVwl`ZV|sUx8Xl{YDI!Lu?3e?sieA@B6FG#M@jjP5A< zx*Ct%+Ykk+gTSofp;AXiReC`RGfW??zZ?La*@g+*yN{vz@~kZFQx8U1=A1G$*^afR zEC@C){ia!Tt%)gl09VM#ozcJ^gcntZWv9iyW@;MVgbr_%2I(+ZOmccGC#oea#&4kF z7^Nz1cCzW+(n#jM=(3JZhUW5stHzgG^8(&zTD<;~%^;i#f*Z|^=2G)qbG>d@HBadR z@s1j^0wbNWShK_&?tLU%=wu7?q;3 zwD3Q#TqaTqs+R#Hh`iJC%!_g}hci$Wg-~5y!I{_sb`}Y%*arTn7u21CF^sNtjQ!KN z^YQ2zWZP&rTYy37IkT{|94QuxDqft*7nySdcX?JITtDL$pfpm)4V$Oj59V(brN-8k z0XZC^k5GKBa9z&fr~xR{CI674J^`+5=NFC%?;p^`dem?(a; z^d!2)Xrp9d0AS-v!>I#Qnl=q)9qLBDrhR9{(;OS_9Mbzs37r&+=3Bo$6YA?S{$2?w z{{AAqlu-H~eujkcpZ5_ge_@HN@Rca{Ti5EWVQ6*Ps05H8wjR^x5Qz&QpwSB7eqNd` zZ&q*6x?O?aa=d&))1>;i{+em&aEW!Q)&pI~>~z^;W;WS$rPm3vJRk%Q+nd*$ztdif zmUe_=$~6haYJ@Dx6?JNPJuehKon96U#hh5Q)8;-I_ApImy<|QjVN$OX@?DhPd605S zmsAy(U6Asr;}nNPQ5#Pq^A z5w_60V>{?S-s^)p@NOT~X0F?5sq{;3C;4Gw-;`f>Gac%ZRggZtuFF#6;W|xMaaMXt6Ca1YeIN#F_ zZ27WD*UuEe;&5BTXh(vun_Cn;$W}I728)h;1}o&yu`aMapVnR{Pklhw9^AxCT}t^; z_usxG`yJwOuDv4ROUe=dQRV-iB+c}{C9NZcEr9YR>GG9IvdBiwGc_A3iErrMrRq=> z1Sm;V6u@_EZK;EhYq%*Jun+JHYQd%1+wj+75?3%YntC`XEst4V9FJKo9c`_^)ln+n z!9q|AUO#>u2vLIfMw?(zZz8Y48)Gb&*X(5X%VS>?J{o1+zi-$Ry9X@C78$0~0 zR}Ianukh>@L5#=KR>lNOs1|_`dD$~e%EKBHbsq5x<-og}hb(}i-Zb|$uslG}n20f% zn17q{DtrKNk%P`ns9w*%Bn7gwyy3s8l&D|#4`|VFq?ldfd0kikBCVzH9bu8W_@jef z=We!MKCL-X>2PvcrY&Y@cCYc+R*p@n_?AQ>Xg9*%VR!TeD*)QyMfz!Y|R-%=3@+KPAtd!t^0?QSthJvYUWSU=VVFtWlHICQ#neFP| zB1Q9?Pdw4)bw5_xg+!^_>y_=g2f(~maEJbv;OSokTJpXGANoHRJoCQxeAD70pAb&($jJY z5dv}b+spB%wN$%K+|TFNJNBO%=aS}zDfOATnvK*$;Pg%lEVqRfS59IJ5$%Usu^y1L zFdHWC>S{6@RHdojspveAHZXg>^9R8IBX{!CC?gzfGWy$h3d@ zCR*-NJbRW^ME@TQP1SQBx;#u?C@us;^Qgf;0s6;E1cU_Tz+gTHxLSt(N!D9m;Db_0pCuHZBr_C`f|i&DB{HDML!F6z|8sv=V$?8qZ;K#@a`KvSwUDyMpFc z#*=h_`PHzwxqt?9iI|0Q4I}l>xU^XzXlibEa#UgdzIE#hE zEbWT03mL{)d6&Rd6}AcI9JeL1$n;EG|2Qx;gZrCH$j8OHZ+MyP&t7X_P$ zq>tel>cSbE7^5K50A{bjTQ4lUxEk}*f0K%g?)n zAw^OToQXy6_pSn(FD};jl=Rd;Fr^HX#*Mo#BclAba{9j~Z2wOll;vNBq*A~vkST&%L`4y(~8l?yB%>ua>$yH`%fLJ8YZH@ z39UElQ+>}mn7@UoaU6q$6VXqFd8bY?0<(fK8X23O#}hK{tAIl7z?jcA{FTF=x*G1k zQwR>GAZe){wQP%{64W$C?V2*r+R`z^E47MiM#53=9TMcw@lDyVYAM@SG@dd~E37?W z4Q6knp^_aAE(m=9ceGw>RZoS{pHk!Ir}xGjL*VN?Ek7TcY@)$ssV#lWYQD$F^$#Hs zc+6b?wI~<0B|?Q83`#JGkkm7*g_uDgM#njn&fn_tjG^TL&!2;Ow$J_?v)NL|Kl2$@ z?;;Ij1sGkS(g!9MFp{p7dzfSnc9&e18o=CGc>3<-6X8Wd5ciM>@CTsd3e~AD62zN$ zR8h+jZb6#8j$7~#Fj&ha5a-h%HWen?$&3$|u%MVkwp4p4l;F_5RyU}<$p)&7+4eCH zB$2uqURR-Mgqconaz$N#5guN9i(+Sprcd&algC8eU~bQHgz`wW^3UOAH>lV;HNA{%Vq7@zEFqAG5P>joOt2} zIhhRXbGVLh~Tvg`gVb#I+tl^Zb@uX;^Ku(8iz^v;A zs%LLpSu-V_Qu?{rewBPrH*gcN4$iEO$?W`xo@>vk=EZ&Kx%Izcr~hAi&iYS1&s08B z{Nkc~Bx#vL1|00-mZ{RBK!WH(i%UTRat6>&kGoiS6ZEB1SO#_qa708z&Auv=Q3ttI zU@%jR32Dd4%a!*(Yhxo*dRx9g7=2P;v_WT;Y71-|FO8&Z!KPX!YphhgbIxVu-`kdX z!EAyyWsKIQt@}zWpKl8pe86yna(-wbn{L#-;o1z;HPUJ+i;$YUB%L96Ho|fb7H92T z>_;>OAk4tW0y@n&7f1+|*B#zqceKcIj8+|K{E`?D_-(ngiRvfXP!UC`CyOESLZ`9t z>4JZ7lnDxue_x;#+ds7%>(yc%bw!wGg>5r-PfAE1W%KJRMKpXlA=L*|=w{xXM8ltn zQOCmUfY4Dki~q_3FW6uw^lwDbPjy3p8Yy<_FFRohUI z(HRG2yiv@37;>Gz}WxK~yZ9-n2Aus-ppF5By9*NTY z9V!GeP>gZz;lX9cg{UXv-kU0ZVmt_O2zRA`u4PU+Vvo)(r4O=55QDd*Z%7$--I$!r zgDe+1_|37thuxALQu5l1>Z`9NXU+}VRxEv?AKs}Buf#oqu8~z*Y2LEt2BDC#Oh3fz zc$)B{wUGe_RgPh3u2xfh4C&?G2z;DoLc#<(*xR3ZQeVKoo`*$y<9glA@mQHgGHR#v zI+?TjYwFb`GpdxkuXw1G^T*UEPBZyIhMbXxh^(Tep7@DKlrSIqp|BU6it||(B^nLq zjTzjby|gCsyjc`+yT@Abg0M^v*3OLI6j;tHJ*GluT*X`C9d;~x{1U?cTrd69w=t?K zyjmP{(au~ik{hrNe9&}p{Q>d>VeGX(HRZQd4euD+iJ=HbX%ttSNy3!pyKm$$U|Uwi zzh|UgfBA^LsRZqa6&S)B#X^3Z8(8AQyT!g`e?Ow+lRG!~*ruq7E^3?R|HeqpFj8St z-Aa0wjrjpODOf;$T*PUfunR0>1URe_#@wgCX27$qwq*KZ0^O<`^rN*y)Y4=RTLrn5 zdHYM@<`7`hWQ2Xl>E=b3fsTTP08l3J~UrA?1aeMT?`IHM2At`1OPoj zR0@#4@En0dbAPnk#)PYxg~Zx~{u^ZH8!8D_7}*EV7x%DUOE~!3JsJB^)?Cq)$K~AI z6@RBUC_|_TEN>9zQW>^P(}HR(C9IZ;joN&^SxTv;Xwi8<2!}yFCS8KWa(7z|xV8aZTLOI`hh zT3kwReaKjlVNjLCr^xmtmZ95HlK%`;f(_3mOOtBuItuNOrMTP>>Nos z0*eX~>MM~7J@f57c2C@H0hprc;5r&-j%SQSt&?V3LwUE9PZ8Y|d=w@#CN@9FGBYW|pz)KI1WMA-SQP|C!LeeYo%W1lPZVbH>D3WJZQQ;9vx9R zPeJ6HOwj35w;@IlF%`-@Vw&?DTI#H&YV%`cIVl8fYdmwgNFVD#>n@DMN+=TVXzp1- zQyfPQYvomvLywz`7w0rusz)5M$d~{i;nesic zZ1G*@E#8j0_Z8$I8ACCF#1{#R3e{|8FhZ1$oQK|37H>g0qwl)%?u~ymxv~$evToen z)YsQmm&_Y-y`DIzGZ3VRxsGbkm`!pMskV{nJ_sP8y$L%(CHsc=;@jhbH)gv%7M_h8 z2~~+0bqTs%sY#`wS^&&6XgRIo6}Npxf#IsVOF7YZr2QBR$?3IGw50U#8QR*>&ZJtyWcEqr+Hb5NUsas|p zdBb&0!ZsZv?kjSa3^a$7?H-y%*uR|DuIiDrX=Hwo`ig54!O_zwT>ssB&3frN1FooX z`lhOkfygL48um0Z_9U>o%KrAqLx=+N*#j}9FF zw5>>03wvY}4ByR*n)K=e2$5ens!fv0)^Pa zMTOd78M_R-*LVh2x(^dymCmOnCOsD)m+oKJc~?YD3@Y&%{D~-moth;smMcesi@Ffx zLB~oS=dt!x;9*-kbxVii#cIYnOH&I?e~)nXapTLhWq$!9M8IaP8>yL)mV@qTvS8S| zhZ>SbDxG3yF09L7$lGzBktffoU66$2r()0u#aDtrh0T%0hnRCNo`z5|G!?BsdPVNt zv?iIYRHC-X=Z0NNxFYVCELs{zJT)Tc;}2CgIC^GifXz|ogrTJh2#Oyy(n-C#L~9IL z`387mgmyA%j^DpT!FAzCjJ7ncBZ?r+1&jeu6F{-c;15r_+mF)R~vB!Bqe6kTFrgb5T8H@rH9legDG z2Aea)>7|)Ln@Ftv+fgw`x{}i1>`a7{M%V*8AS1CU-cTgU2wjw)@cybeMKzx`eu6uT z0{@Puw>&b}qBs79V;v3m$OuzFMzZN4yje2}TB#2V4`T-jW5-B8DB7P8zD{sRvc8t} z&tBrg8?y2AUACc1iIKKt+rg|_*d?nfU%aNx8rqs(I2$TDBUN5Nx|fYr8;ut3nepa3 z%(CZX@JwH3HIx%;Ir+FL&(EIvl$uin59@7iUfcM- z_>heLZ0I*dD)*nq1yCABlI=k_kewOBSdBaC>oM!pos28e;`c8Q%vzWi<+N{m~X#$qWA`YsPk z1%hgi!y==37Ay6^$%;}Ascsq+0<0jNMrqxedE^uZT&$g}e;Kv-p7-0HJbBuN*Tx5N zy<_x8#(5zVa*X0ybw^skPp!~;LpEb@Es9$!zN+Fj?YIgqxSBfE6~k(&H8x0$x$WAb zx+O~bycxKx2YPu(k71eWEJ8L|H2*HEW3@S0hdKgsLTd2g!i!-SP>JIdY+Wxh;2)~< z3^l^O6j3fU)o6}B=J+Wl2JLo3vANea!RrGNea7*NT=ZZn3#gC|Rqp1V;_S(F?1sxr zOwqXGbvl_fW3zFbtB;K079@?)pb(Q(BeYB!txSC6b7YTq^knZqVb!3|$>>u->lVB& z|G0fGfAEVN9^SvUSF=+=&AHp3P3(xh!6J{%KUtGKwrzMJbBU0QIQmP<1}$lJJ?xGk4W+5@-b+U#FxV9;AV^XxjQKdA?BUT_j= zxHGq0bV(b~nB5PoFqwI&M~lvfrt*3FQc&SDwEHu_?2Igd@1Qtn4`(O!R|TUPf} zc12iF5lmM#&anA=UDYg4I7*0r4f6M9(E1+;H8Xsm<%TktV3f61Qt8VeqWj6u+Bmov znY3PHU6N+mz6Q18@5XnNvE#AXpCB~C7*MBkf&{{XwLVX+)lU2|Ala1>xfi9@v4}rN zi)w*ZMXR<6M}brw%|ka3DGMi~SYus6L53`;wQI65H8eS zmYW(e5bhq6_NXvio1ovMrPyY&Q8qnU#3#p%eBP7hJ z>pxNu7|RSe*ouzLtsHblJQ6bIWV>O`x}r=N)r?>yp&E^o~4_kmIXA(gRwvk zKEBqJHD0<_Y%I2}>MUKZYBpWi+MIn%wXnO{3Qk|Ynq2aJEnD;Y==y5Px_#OD?T+=M zrTtJOB%32^S+j>ZR+bD6(qNH@r4%M^eMW>2642{X z6NDLM7b!x=q7}ZDm!qu3Q==5K!r3(&Dkw1cCK+X33~%%_ixv68AiGU@gsm3ufKTSw z$%Bb*Y!@xUVp7wsWefXFW2`K>k~{p64O-NZ3{g^eJpYesS$A^M7oA&$sAI>RA~nMo zpr0W1U}EVQOHkw>N7FDNEz%2jfOE@5j1$>UR6?q3t~%mr*+R2vdH6%#Rv)Ucv`Gm@ zx^D9jD<#VMLDfPZyil=iReZesY4(*YHr;l7mlNGKDP#w>(I@(m00DBB-M zDa7Viek1FpM4|Ml+im$CqU)P9Bx4Nv#gPX3s)QSwH4apIL>7A%O?0gA2=CGlU-CrvoS1UG!6|f$9N4t|F?4FJube+<} zBE`MBnvjgqh@&+YJm4ENT?kZ@D1awf_W&R=)aQ0Z5Xt%D$}9?Q(#O;r*DUU^Y(Y>c zeN(WznC2+o<`12)J#L;MAgAd`37Vk0aLiB*-DaUmvS{hL*p&IB4-5ZzaeN~-Wz{*> zKm109jEq{DC{cNbucy-#pU$L^`IU>yr#ZrL6{&C~&iY{oYLcAW@FpK#E%sqjemS&E zVj3fwse;3c77~lw@F9{`V#nMsv;&&GWNIzL7fqSJdbJUj&tAIG=k2VI^Ch;C7p5GuXpnPxHi(AqJ299JhJlItR#!x%hm4@1*UbbRR_fU2f)Lg+EwF{`edmu*PJZ9YqAIC7@IPddbJJSMu&0CL@j%w4lP`AMHW z-Tb8oh^=%m>YiiWYnq@PEaqEsu`FS*_pwG~1?s z3Xr5gR9%{;&#{Mq1Z)*OrTcg7N#VJ~CZs|*=q@B9p}R!#c2TGaGj;UxaoWF?65@>* z0*5P9^n`Y1>ge7e*z?0*2~?GJdWyWawjPB0Fa->0IEd89VOUJ9 zh7=C069NlC&F}EUMM|njG2vZ^r;f^2p}-ZH|2|EgVM?N;C5JqOrCRNixqfGwjJaVF zC~K%pqgB0daM9(qjw6g$$$7MeCOtdGu9C)#ic9pR6it^agqGc%nUv*U)tz)Dvn(9V z%Zb9skubkVPA#``yqzv6F@{KNS7gGuxqD-h%6unYOHl=d3P6+*Vn5OLT(90`B@z4v z6HMT8AEF!@pDLe?vk=QtRxi`gC;`(o=S$MTRMka4%~l>xDpVp~OKZsFXUJWO44J4) zRD{U^`fUsZj}gut4T&WoS!DY7k2D%~t#GG61~RMEV}647RC!#a)Vm_-SbME9!^PQp zZEfXX%t5cYS(E4r!O#ru|}i~5;{n`KoYER%}MvMDz1itW+}T~1{q z+VfKrr>Kl@$0`;`$p(cAQ%5nXOnbZ~$COU(OpMHF?xBv}Ms%_{W~ z?01H{_gW41@FdoGf46My1L7hp%-XVoBXOPqGAdcu<4(C8+bJM3Mq5kANYQA-sKNNc zzGWe~ay8j4+hMpc%OWc%tCB%-nE4dc9PxS%RQg5g0XD$cyJE@gIY^ItGF%i?T604N z$E-rWhQx2@Wf>h0e&k>au>Oq@=h9gorg^UHx0ijvG&%evF*my{tcxwP0zut*A2j#i zWdB^}Q*~cW>@9=RsqYc;joOyOP4gqkMSs}S&JR>r6#Tk$8a_+?+l z>WMnXV%kt^UNNeNS(VSZrL=e~ap!BNVqWLpUEjI`oxpYqx;&P5s?{`p7N@DP>=Eq*755>7z^Pkq^sC;Wkoe1%h-qeShWdzvVE8kaRHqr z9%`Rmn=&KtCzLBLG&gP=;6mA~N%B=p%~G{SkV?azeJ<~0eCV1~sBuqHv+&^Il>-zTePPaA-!_5H6kYA(akaWLS_*O!vVb zo-fKt+lYUtrLv~SAscDqS66zXisMk5=YK4l3XdB zGG)}Q>&3Y6_Z+1jui0@8JhKDSi5ak`@-CLlF2^s$s4EVhq^Gk55x^H)^V8h!ip*mo{zXs-4e=jQ1Fg}y83O7L1Cqz3z zgg5ZI%S6dpoa74t&?!F{N-XlxoDa-)P>g#*Ey$$$*I}je_@@o3d`GA@Q!Pndh%5_8 zbqf5V#T0KrSB1L93<-#RC{w{@i19WuC=!b11!plSgjH}LuT|qHRIFjl%8`O&)gEOV*+8lOtpGsabK+R#n>2{i-gL}H0?!ysbv~3lPEdT{Q z-HD4FTG2<~pj(&Z?V|J%H;y?h_L-#Q+Ztb+K7E>VC>ct>v-2_g6BYtnpfAi&cGC%3 zvrk;+Q`3=H(;2#VhG}Z|HtPXonj^}Rot#N42UVJ5yI$>K@wywH7%Te}CV7Idb~)F} zH#DTh4)z#qcdHyPqniu$wZq}OqoXFXjgq-{=<9NhjkeiwdP*!d-muJ?kn!9&iob|J zADIgo3SL88H4xyoHRqGJIO90u$8@%xYdwZ=cMFQsRh`DGH0bg!1CGY{w*QN>vjEC! zTOU5%-AYI!(hZU?@Y3B~5`uI$(k-Plf~0hJiGZ|(bhng%bcx^QoOAEFc!dAG-*L~41k}7Ao&p_8%KM1avJ?shYZVwyoa*lW!x*B{g zv-JdVAS=X&?rb7=Tg!W&rK$Jvb)TN;1_({B3Qp5~|1C%2HqQn|tGTS~__j@*%XP=p zCe)P@@InrsuwF(nlM$z$QKWZd=c$wFNeVZz&AXuS(JyaJwJHz=%_Ke1F`J@*GKuc{ zG01Xh(`p;F-rU5?Xnhu{1h`|9i+u#Lf!;l>r}?9;sA|0*H*Ityx|X?I!E7Wi*wl8f zx=m-rAFefQ>0Mn0G$&%6$*T>Kid6VFp>Jlx4h}37bU**{H^eyUc_FU zW*9qn;^^8s-U%m$pl5729}7k_UqpIRA$drrWNy@-UavH;0oF(_9AZ_EP z))aI6N`4|uHvH6wLk!6Kne-hmDiHR1r(E?d_I*dU6fDV2H?(MQ9!ce+1){e`vnI(} zeOf`HO+X4Nf>GoNEF`gTZDFj?tRwMGqQDOMERtBdz?#GyXTsRNVMrioNWiwLVsDxt z>_spXLElpOa)^nw6m+d}RlAY7 zja(mepvU9EEVMJCL0VX6gsd~Lz%tdWR4Trm$}rnPh8hjFCZ$iR!)JSCaH2Ss;gfP{ zTt~Ok82Y4;t>5-W0>>rH+UjfayF>q$TT*!Sf@JurLP~CN_nM z+U8q!$yPwuO5;RHq_)|ZMKgV{sr#xt706`F)$&@dCze09ukp~eUNg75*xVLFg_GMj z8TFMXZCM)&s?FqLqR4yAMU8&!DX1=44W_TkrIzFVnh&v(Sl{A3PQ)PEf-}M|6z5js zFbszcO%(yrFq+9-_r8ZI4ph6`sLo_@+c1z3VBbfGYgWk(-<=JHnpY2Bg-@hI&yYkj zsN`EMsM5}sZOnkEo+gdAoq953xpu1T7GO_uJ z;*hXy@JYDn~zLnzQu*7NJVv1@!L;rL0gvfHLM+2*IPiRPdIy>yJ>ym zc0!CxSd2*sEm9{4Q#W6wOQg1`LrJmjQ?}vKcucwGvMT1K<+;0IOPH_9ETvj(kW**Q z0qgC;M15c80^!rf+XN)DxQfOR6k0 zNFYu8uCO!@=av@o!9KpuVOWx_u_6f_SwzV&6bAs`HMKO9K~*8Ynp8SpPoDhSOrr-U_>i5pK@N70 zq8p}5AF!orC&<6eMWdN~Jcu$+;ArLfipqZR6N=f&VKAKaYhGPK{ZVE@tua)8CgV#T zLjC0p(SmgpYw<^poTkhkV|#S{dS%Kdtk}gqr<&-TbmNti-k&SdQ@(xuF@E^xaYsRG z#!IZ&5D=NbM%&%*f8U%L^?&Wc{rlLX$Y@!cFTj~m3+8d$iR`k%AI)ePt=e|qt%qsZ zJ%*xo4tLWDOc~W**ekj0JDd^+%1I++G ziijSQv-&|WHh*)p5xFoGcFu}SB6F;yar5xf5GZmesRQ5c{jw)fixf3E1LD9Bl^&h= zL4-bUTbcL#6G^sqs1w9>Fnjttcv>1{D8?-E<;ogNl|!{?YKYt6^ELF4A~0>A@ax6EVQ6jS(FsT;dj02o+s^{ zOGkW|>rSbUK^x$wkz*_lg_G2}^eb{;%l)mjBzlCIVV|q7B*HkA?Y_JG&00Sf27H(kkU3zb#&m@*`{GA5o4AOQ=Y$y)^5Z3k#-M6a+gx ze4hE4ON86~X`gdz#yKM0!jQnG6XWpZ_oO)Kx*nIAco_OA5PXzfUyDkfU{`=;sFl}O ztXlk$AXz<0Ep^zsa1V%LL)6n^-7e@C{FWM*7%4j9lNGPOE!_Ne&qWh(JiL`@_0ht1sWQKcB)aI@r??lJ=}Bli?vOB zAtt>hR~IKUMl0Q!-lodH;@H{Qs&GE(0h9RY%$oK#+x=lRCpEnF*@85; z<36U=#Tk6FHD`5`LZ@f12Icly_yd^+z$V4@40|bE*%j-Vmf*)vS`>N(9Gao!~ zpUvLR)-dVpHAWR<>J3_#K4|i+>Qkma5&Y_!bZs?0K5M2rm)N_`nIGy0Z-Omv$ggd~ zC_hleBB|&9vF5o&T=%6@O74+@X1%&AR|OdMq?Z!~z5$&=@M=3obB4V7D(JH8ITvzr zg!9HxtI$_lTeg%4!KUWa#jia4`N$;$`~$UQC&|;_P_DJ7pK9ZN?4mvwek4H6TS+(5 zJNt!`u@AK+f8vU-Jdp>iJ(QYU%d4e6tRg9^mD^q8N?sf zt^5yK4pmw`C8I2b%;(fTqO_7uKk|-`e@ZlTf|*opZqBu(OmVeAX@Fxj4k9Kf12yDE z@&itnqr2lC2k7|^(Nv1CmX@BnVUQuiursrzIfZt!cRgtt3(HZglZibMa!A>E8|>Y` zb=*TJIA*IbHEN1ZkW;J_(Y#9BCRb|NUL(0c+4)Yt(dGQXSiA&<5ZpVGwf(=y_HJ& zMmMN9Ip9o4-g2|gGGQ4`HYSaq?_?;STPS57^^2aKqW9HCl3xAEP94cF^{`&SPnK1|@IF6Y zTjoOO^NJ65ikx80MC2Vw#5$-+jPhPMOCp+(u}9|>EhP7)eAe#17<`N#xaf9b;M3olAXQRT1nBQ}vZ7O?_AEeNENE`%qbg)OQ^)-}hSH9C_NN7!7 zQKy-V8x_fWeh6Cr{=z%6iRLo*A;#0EGh*hEH7 z2q6S$8>+bs6x!vJ<)yGgm2M2wmMNplPsP0Q^JHHf=FAXFG~p9+&iSp67McWn579(= z+w>Zw?pNA*dadwe3y(QLAtk%A0G&j4xAz>{QL^Kms# z+HR(*&-nR+=$G_j2v=3`kNDurW$5+L)p$8fRdqGiu`9DTcOds=(NPeL{S6GSC6bIq z1R$`W>zy$u=ti1!jml9j_yPz)$s@4{abA({l;FKRgrGe)R^;51Nv~b}<-e9djXqF- zMSX|p{gpYaDuf<>@}tKAO6nmCT(~?PNprj3BrI2HI?AMPP~}bt6~Z&|4?>oxh-i;; z%)%;MA3#PztWoKGbe&#CW{^hx`c|;$T?kZuXh{v8;;Mq-6o2GcY#kFTWW1bnH_9On z^BW#osAtj-KyN>Fm>dUcNYa;71P26aFPsFm5tg637_5HkX{pE;o2(6Tz_ES<%K-jH z`b|x(^Yy|C>Q#bTRiSD;cZ6gn0}LM>zxN30>t*omPL6+3qD;XzLXTW#+V^+ zZ=c4VN4+ICF#ICA5@#Yg^0G_w`RFjW|Dnhn=!N}k%m*}hdGpuEMYtNH4bcPvObkYbh-;m|l-kbv-FQSk-22Bjct| zSC3UbZ1JRIEqqKgpo{j2i7D|d`%r#PP!{z-LDk#`tLz$qmja=^NGb`mutpH=6i!ow zVaH3uz&2lTTpk&F+;i^l2oE2+J{In+FG`pbwS464D?VV59a@`_#<{%a6-ypMjg=r- zO^HZNlwQJiNvdX6HRxrt$$`G|u}?y@i+u5Dd5^)81WH!P3EV0PBOr?Q;4`)HxDOq^ zM7M!eb|}MsU>@0Idj3;XL__|Oced|(cwTGxLL%?b(xy&=rt6;@p(^tB1RStcLM^$e zrJE>+;;Cwo2f5;9wZwlH!FRsg#N7L4H-Xnf{&h|h(rhRrSvoUvZrVO&#=4)#ZpXIl zaYAFW!s)1JW{rGoA4=Le&P3%=nYU$!HJl29mSjqUpJi-n?A$IjM-*khsBmYWM3Y+tdYUa`9ucBU# z-=7ro({yJ)?ix?#ag0|IcG~yvz?8>M>&Po$Fn;(|JuUrcu_3)MBJ(9jjsMQeC@=0% zMZ7*4^5KuglU{!yx1VfcC03M9WMZg>u0?^cPEb7?J?GKkYjVd!wXg@K(m+xI)Gc}Apj zpKS#rkFvf+;&%h&j^xySROTlq!r5s*sx-=gb*h}WBhzB^>!~{4l4A?1NjuC z48+3;^W~VW^+pR>(ycX{CO#Wa~| zw;yY{$_QWBltj#Q!=A|P;H=P&8tpMDs6^zg>eP`p8&NWZ_}U30g>(td*$5h5crgM) z)Php_uC~43!vKuRP)+H@UBCLrtZ!*L3#i_UC^=rerH2G@uf~aaBSgR4LJtmX_$l$O zvTLFgndxNxarW1Zqk!6@jT-59#O48gC>ZOUMjkO=;vrmDWY`5MKg3{qG-+tT9cbY5 zy%jeU7@&6J9bwQAQbm%*4w5vb4i?BO*FG~Cu{LAt&iZOKFq1m!BuR=%1SZQPmw>Ny zmv77?leV5ab;GIP3Ft~j<)Yi1QZm8V+}%4A z9YQna&#Y^%WVWGH@5m^!vY@2pQOg?Uv87qZw1-tSUgdzq@|aK{W1m@NrF5okotN@*7SKsv6H$BdFD{K?Gae8?f*@5$ng#5Dhua$7<)IXMa>kN>(5?G zS5OG#hY!!(>>fcB7_(SP_y>u-)k*26hxJfY0${X5Al=BZd1Ynin?A7xJrSMWwqJMWr$7P4Rmk}nV?Jd1T*$1jJ@9TMcA0f?=eTWaC=^bvIlC>ih z(M+yA2th27E*b2lVb4CiexGVL6N`!`td~`E<{?cnu4_)=x|fsiiXTpDToMyecI%Pt zQr_eM< z(5D+O^io+RsxfnTyhXli5JY{cy8M(NYQGd)#6BOiam>wD-xst2|l$UXrZSpauDLhq5cnFeYd=n@NATW{oaJ zsc11&%;HF!^9@S$KIACT$;$+_DO^8B*$-LoHZi>yP9>u|s*m4qH?2t4DHnZ*;nnqj z3#|f+N*3{YyY50RN)kusop{*>j(6MS5~{I48@c$BF;=P)vz{bU|FKfQyFRj-VBDhKS`5O>d;Ok$iEikvkO7|fZMqu)4BZTb;Oa}J8Y@OEwt?_tj9nBX6_Se$pgeaW-ngK-x^w^LI5F3Ld}N64gWlAI9s-GBF`V zD?_aIGeN#bT&D~|(V#;2K&zLqit6~8O#(})HSDJK^X-frJVdr?Tbl%VV$mW;P4Nm} z6|&`~eeac{N&=!>&{> zSleVP6xO(K2u-$~s*vRb2V&|<-_)M0;4X zm%v`OKu+|2=WDw}k*we8!!*lVn?ED62&i}b=H3Nz2wvcvd*@BPZfp$m!aU@X__*;U z(I&h4_47$ zUI1}>1$HVU6GEL@YOE+q4H=gbS)zzVP))OJO8iW^v%-^}-K}B50l87a5)Vpq)$ulX zO%Tz`zC+cB+-(Z07)oYIMS|>y!lRb-j35bSK>3b!W#b~Mie8nhP8U8 zEUL{Pd0_uywwCB>`5N-8#kDyFs($MmwPk4BFElkSRZ+;q>8~fy2qek9bb+INEvEP2 zF-g7NDry$%rx{ar5rk=9^osMOQOcfv*pnbC3c>ZWm}H#+H!dr?T=E=e5G)Py9>tA( zEG~J1Z4!N1{iJO}`eZcnySVO0@j4t@_)jn^e2T0nXiCr5zuCSEWHWl3X+mfFiYn=g zi>54wmHOVBC`ux#^bh%7v`^`jcE^&4R(>FH=D@7k@v4v;(oRH(&g$-bE|z%GwS?t2 z4wRxi{mugJ-{^NSL81tL*?`nJ`OLHRzz1)0?@jKCM$fTnqbKVYlKb)sCL&JlfcN!^ zO(#-tyFYeG-nSG1N_(h)1JS zURsyvAP=*#lCxB6g5Bm2ZMG8&N(o8!<;jrH?DMq>aU^@MYi2Yy;jrmgb_Xx$>iDP% zJ^KP)2gSu5Er#+3LL(Wz=M55Ej zQr?@nx7t4472DAB6=WPeS)N4SW7c}6Z=0f56N+5b2Ff9V@*^jrC5X)+(Nxj8us)y6 zIpGi5(DER^QXpw1ozgwaqO^|l#})@I!TEs%c@oYXPc!zodK%y)Ci>gLAAz1D4t{uI z?lU*9q0={F9h+du`%v!Kl@Y$DgqrzDsMw^dTwMOk%O{8{R&2FosMInrAzZi66NKFTlZVn{WBj3&`p1{40&8Zcw|M82Qw5e@ z&Gf#>nFQgtl+EbF{bm0n{7EePX8g_ryT{)%L}#!MV@T|$M46~k zy;XQ7f+9_d(40P)uZ(zKi*5u9XlIFqq6xlSJCpoSh$GXQ^jw#U|Zi%{n`JQVv8T zlp7v>?JKBK#K@=)WxS7w`%h#D=k>akcuUNGR-bZQDu$J|2GtDf*X$oP)UnBn9E&}9 z>p^KYZ6ETuuF+AHm+%B@c5e8r3yb%Vh<&%T|2!W9vy8_p7eQv8e@p(9iXhq6hh$572SrTeKPx3jJJQ=-ohh?Na^(KdJuIZA`IUn3&nnVQaLd~-Y2JmN z78!rt-%GO&QOHcDeD4-85-nKRqwxTl5R5xqH%bmiD3;nS`og_sJD|OsGXyypdX?RF z$Uvmj>0nLX`e)zkAxd-T!zFF$?es;?3p;=9z_E6uU} zbX14@XtElFdlF29#+TueU}4JJlwM2 z1@rf}B%Rf-UEZtL4Pan+NVKJ~D~X19vLWpg)vqvLAf<&H)n&!W;S@{vA0N$=+Dwmn zP>H#ygO^o`jmEUh!i&C7DfsvVIOjX|A(9`vO}wqLEspj4#3ti+`Y=AKTK+&1mM>;9 zT?Mu6O;}`q`u=blw9_e-bsy#f$AtYWwy&W9tFNv}urGCSOQKfCIwQ5S;F@m#GH^aZ62v&u zO$Aj)l2JUF*Ljv3lA!u?sJiieno9TwdpS$ZL${C?qs=th`uQqjJ9!l7IXSAaHh2>e zC-fgr#|zcF<7i>T!tvGDlwCf&?kHwyt?t9k;^xW2sOs9lh0?T(dfC+wyzAsIy$1Pc zlppT9r>TGBN83fclI`(vnk)tO^9#at>TtO1%}J_Qd|s;XK_ASuYtQ)YG(QeOJA?5y zfdr^w+7tF*u=Q7!R8J&QGX0fRO1g|ag#s3#II?K_;G}GVI_24@h;gN4?d5;jw+U^PP%nw2co*Ps1XN|*Zl8D=DTlRuSAYlFAG+h%0u zmGkys>HfQsM+qivyf2^7EZB-a9K8NA`T~z=5!}4Q!1`ROh@y;5Mk*&2`$Sx9jJm)9 zr?8)@G$W2~C|yCPz8tl~D06ns?dW~}8`$!X0^M=Qje9K}6XOFd)uvsi)A%75G?U(| zbaE$`9b1dWny%_yzgzYE&ZS>EhYy9JfSur$uJ$sO9ZnJzR)_Q#d)*n>Y7z+5$>`|L23AoxKloR zIWhEj)f2K65ypydb&2w9^SYX+Q!8Jf&|kW}lR5)A$PFtHc`Ut0Dn_DCw?XeI=+|dn zD?q)rr*~A7e2`w*?sH z(!(<$UmXcOfMZDq-bL6+T~*ZM@h3LRV-+sXj)anZ2K=WT!;f3$htn0l6e6dh3KG=4 zkLTh2+DHDzftU~14d;jOX#=m_&)3E~3@yAX?_}%eOO9QKkBOtLzK~{62z{RO6vU

RC4~^tZ$ig*QBbX^^^kHFT=x)7M4nUVLtCI z+d@Xq3%aU5Bxto^&^3ED<+fYT>^Stew zN?~jt(djHk=V?H}6{0aI?`ZE2FuPC!C_2Jvru9?;J4IsX4M{{sRSyT*ZnwhTvc6`h zRS&L-o^Fc#%9N!i$4~l-{VwH0XM4_;#pKU`0&SwA{dBECg{ZI)%?Tq6BifzS>hgR z3z1Le#`Pn!xRmf?Rv0OoKFR zEpaR2$!YrRTq{$aPk|qtE4QP*9J(rsuV|BBc6%m&UeI3{2!@vDFShnPp1QJfcM@QY zqm5!e?;5bQM}`|({DLle5YUpUm!>&Zm=lLZu!+RR!AW=yFh=rIY-;|}#KFK3HlbP2iQD?XpnKRdeloAu`?PrI!AGpdq zow0dfBQ+J@Rp z`NB6dt&j~%aC%qT5XF3CVXrLa$oG}er>ZOhKjYzV505aGo!2@7sQ0$l?YPcc5R&`0 zXJ(dO2Jt=`>({~ zxYC=QuI_GJGjVLm7s-hdBC&d)#Rl2>=9a`bRv9@ldU#WJkV9JY1TlNet~ckNIoG`0 zM)~*iF51F$8PN+{UeywvZG>J)7jblksB{5aRrHqYy`K|40Uaz-NqsxprQIU!Gm$*D z@haa_SrEO>9@P8{W1h?@RI~_t>CkC^nMU+5Y%dXYH+p;${G(0>cD!|KfK2pLnkN~3 z?YyP!V+9+ko`)wO(Bq!&?gqNcHKc_zF)bJNS$(t5ZqHun!Yn*n)RQyYJ9xNiFD|h& zWCJFzO@8&Zz|3pKy9L{autYFwJ@>&oow(=64I&}eo*Is0%=+>n8Laj2OunjDeT1j< z=Z^WlcF4xN>>fAqOf!zaxcG0mNfTq2pc z=W>v!DLTRC^JmmP{8}H}_EPuyyq0XXNJlO|1ib&rNnc-mf_P2R^ssVuWdqAp3gH~8 zhV1oP`+)EQ3&@cqe`SqO^n`K=co+1mWBN}D>MWmcrHLCR{v6^%?yW8B{YaH~(t}nB z_G#Q5{mbljs(4j6^@tvQ>S<xgP7pbjIn*7?f$)+=t}kGEF48Mx)^7J?3N)i|AR3Z$kaJ89QZuV_2&R3fCnP zGrdo@einE9J8q3x`Jr-8peiw6V_YZ%M^U^UaQ%M|gx|AtvpkX$$!y9TT4GjsbZEiPLk`n7H~E z2?D11Z?cU>t`?bQu;gr?E-&=|`5M{hEq6yKN(cyVv;TaH=+~3RiGjC>MC27Tl_bR_ zRDO5Xc(uB0+>9tj^I+))axJMoxnbxMoE4$MuIN)YTV;t(=d-i~Xa56J_w}+}F3j z-~|CsNARHR^yPkhJm+X}{)Sp(_E^OjNWE&bSodEWVrI??MK9ngF_h?~81Y(|)~a}gO@fu&yfnW8%9u7w@Z-Q7i2jm( z^%c2WAQu?9c{k- zA5yCepsgV}SY@4a!SaM!#EI11D(}f2H-8vQ9S~(csbqC!(ZEOnas&V=<{JmwZccK>lTMaU@Wzeh+d#T+RG;>!I(@WIF00=&*27LG7P zLe0HsMCdthxjC>_N{U)rJF%-UEc?)`q9MycS&-#{ zlGqU3mps+)kFY)6-v6vA=jZb3=H+~FfXrHeR&zqW%CiN*%x2ngiCJfRsuP!i*~19j z-tF8<7OnZ*<8en)A8EQiURlOdu~SwkUmZ8d0AvkFVk0u-{&b?{hJGXPWpRu~Bx))_ z-g2)~=NZ<2su zDAee~Yhxl^dp*$2f7EMc8Mo-B8R)BO6LMOp#|9y=^I^Q7iKD{k z!XTJEHRKiO4H#-7#v8u*IUcBQS>+O<3I1gsq$ck!ZOVpjjj@sN;|&8Pd!2SmwYEHQ z+$y*x_RztsIv&Ng3wE%ROBm7kDzQ4H72LK$?civwW_F?)WH=`{rx7x8WE_{DC_5K< zZczks=92AW$WEBFP_CE_7#EIF+<)JWPhdi1QLDdAD}>3zA8GAWssX-d<+}V5Q>f`J zHt+VaFR!ZuJe5@r2 zVXN^+P!zOX{E&x)gIG<;ia2uo{gudgm-VSY@XI$~ALk|EvDVf|Kc`X6)R(-0hdp-on-l@M&LQgqR z~u$c*yR%09XU`_60;VN;i2Jt?=rBvjON#mLccI3`I(x7XXxL^Tn| zd^orLK1^xyZJ-~jE9v!O6Hh1c4CO*Eyh85vY)kVg=G(jD){_SZj(;my2TVY zeLxufKPN1SLO~&5j{0}Q1+J99AW>N`!|k_T-vC81riCz?)wcxuSwchFvQ3vIBJ{rjjV zN#iGDfnqy^AGIt7zH<2GV?2x`#UDbiK>1uVQ#=uZ{!r01P$#WDKBYH@zYNtsIn<;* z9wD7r^YH|4XTlp_?G5Lr6A5##_S)MI(T}ejz!+1O+}HC@Rk~5)Nnsru_D4tGLf2Q% zpgtKeH(BvGn(NYd_9XxM6MSCRv*^|6C^8}%H;i@WFtko^0^O%=LRVob^$mWW{cj_1 zRxcQ7R^X0Ng-rS{a_6oo#GU|cVsyQhvSf-Tk($6&{iNeM| z>*e6OBx7?hc4OI`fN|-;2~vy))6Rk=U&Dzc%3QW{!(4zR@zRtI!(O~U*I}uKzId?> z$AE9zhjU^;L-;rmB9LlA?7Q1DjQ4ody)`PY45_4BCZ`N2q*UZ={4H{dS$4t;;i_}1 zd2!xrpvIZ%9YO^)3B5bbWJY~KIEI_gx4^Ud0WXd?%-1&?wfnWw5k!w>hYL>2_IcMF zS}KFM6dZR}s3Jbn>a_4+{t>a}aO%+f%olDBT`2rlt+6j&cp2rqs%4it6eTQ*3qnC>HY-7}b|sXuRiYw6<4kbO6wMsCnh6T! zsIj}SBMb^q{(hggeWbLc%lY)6^4d;bG6_k1@hi@xfDzM@3wD*>$Lq-4KS}n!g5eoi z#GvM&D}&X1rdd+*^zyi6^b@%lFNGBp)1+%TVjjbPQrpUKOKR` z)3WLzpP;12oqQY_#%6pNA*xZIWnPSQbzKTR;(Ag)E-cOJ+m-mfX5yf}s;>R``4L)r zi`Vc%%Xol%!Hnd`!gdGg(!oo)SA+#{6E=#-#Aiy68fRiSV<`wVF`ZWoa3atkho@qB zuWUTiuX$uxjU8mxCGq4~`NYl^p@&nnx{>yxMl2-@ll_X}>ph&yN!6>P1WqQuJQUMn z{pvR|ee%QhER%8axxHX}0*_jCvW)uFhXO5)&JUo|iT!kuZ~Nd@yV#sVLG?KLw>0<; z2jRSG<&-q%mvM^F^d8Uh(PrJ9BQ(v>HJEA(`@yQmB(Y>V50NbLY2Wu;hNnzQ$JbUe zQ#$H3JcvfEF{1HXi3ibHh7y~FJAvE6cOS*#nhx7P$Z-vceT-_RQM7CJ9p)-B*2M91 zzm)lB%Ck~sG%>3kz2^-0(OjC^U>e_L%B6t@ zHE*d2?Ni>|t3+t@-otY#jV@u4>XIXl+Rv<&fnT>4gN<~!@#VbW^$X%R-=OpcoK_T+ zQ9A~4>T*DsEjy%orIxY=^kAcVB_GTR&bc4OWmRQC#}~~=v9ecw$t-Wq+9$|~i_*cK z@2ceXut&+Yi!Vz^{Hz?H5nzrduU5%yBK@V)^8qp1b?nB^{BL`2w8}GJH3jt6KmH7z zG~uwElKWEV^J%aALoHeoE%D@V#)f%e>!HWIAM_4E&cQEPygH+HNJy;@ z78f^W1fwyx#WYmNX#{N2MC$7w5HFwhYN&rFFmmK4iCT!TIm6On5Wy$*{^MX8(?@4t z_JN4;|Kr3pNGKc#90&vi1PDY$d611{A}0= z$%_1rAgT=d&q3Uru)}`nB4Gx6^zjC`O}4-PAGjJ4`|C>|V-trLjyASTe^!KIa&k1c zWRjATQ2_l>Axh@20#W}e`tYj&aTi-cbO1g8jPtgDM2Y`Rz+bfneigvYWH(C-+`$#l zZwo+>{@(=rb*BBV0`~AxKF$L0NB_8kSegG#z+Y#5|0;lOFZqEjAfWUgHW-xq-vs=1 z$nviO=u9w<2LJ&X|G0w;h5t>!U&qS+D!??6lavk^y&B+%w{7rQ>3k2-U9wJgo?x+|>8M z29}zjTY;vm`M(MHGwzffos1OhZCwAV;`FOHT+s+RCg40RUjhgSAkO}yS?c_6;%-7+ z!O-5p#GdlzQo_*M*z#YM<$sk*LDkN(01pB20~-Q@?>}ThKwv)q|CRcCbs9icCw~JZ zm;g2tyd_D?_J5NEta5IOVf|UU=J&#?Tq+ZY0V$?#5D+Z4gjL!7Ps09*zxllGKexGi7Y641+mWp0RrLx69R(hZwhb) zhxa>>q_w%@KXr3+W6uhPyefhJLj&y0c#G6P@+;|?p{1pf;jaE+yeS-J+zYDP5o03a`GyYVw!ie^))P~;s9Y=?W$1bVFm)MT%h07 z=KH(p(BI7zR`|okz{<*ZlXo}b%n||I6wq%gn3v&h@{QeYb~5hfLNk3liU*iY|KQRw z-p^GAgQf50z8yv$zTpBn3W5&kf42ur_j5&LSX5qJ zPDcJdMR@(}q^Sa!|4a~YEO&F2z#{TW_o(;yLB7@-fO=DG?RL0fu-;7t96}fjx+k0Y zzCopcK?N2U6u(-OLSz@o|ucU!C^ zi`PE};FJLUHc|(4H}bEb`28rG-##|U0dOZkzn#s6gzrZFoo;?l&7C&fLI>s^J7DQX z1Cal2ydu9+jZI9=txX)5)In-seKC0@S&+)_p;^)&-5~+!DbR2GYr5!NP+^d=vi?n` z(Z880e$V}aP@UKYjF1@M-kv1R!1r=R!D>L+Uwvg2kcz7E@7X&G^eX89dkE-Ee`^7_ z!WF-l4N_52k`&fg0;_?Ql)=A;QymBD6alDJpx@Rqro_E)F%5mdDSi)q8Qv~90swjb zp$#m_d!Zuoa$=I=`hU8{@7Wk@tvnL|TN_~CPU=EZ{|)3hjwB_(;K-;dVmX|i}4Fxn2F-%h-Ca`!U-ueA<7s(krPW;px@*i8Oj@LwvH1K$xL zrV%WIn1F770{yl_*eKi!{?n6xuLeI+qYrJsNOAsQv@ykdp|X-1U?qJq8IbrL!O?zT z&&Uo?Z%S<6R`9;ky<}j?r>df_qN#94U=$6A;h_NFI-rvSL;t&ZsoVt?RTcp$+%eFa zHA2%208$ThV3GeHZA$en-XECo87ilpW3~|Kc~sxTdJ=J z;_7A%eX}|TrnCQO_3HOR#qL-$D#@Hn zKyZKle;{uXHds#W_d^{VYT%ltzDzi0j^ z=k&TsTN^;XJt3Ui-^;vtd{&UZ!&DjZZkjg^dlOo>7vRc{_fr4zfO za#OteEs(2jM=YoBy+maNFi85YwSW}jNp>Yb-TOywVe-G1Dyyn2dB0jENzna75fw?bJ1n1YEs**ifX@A6n7qOFLV-yA zz0=F@i|g0k(12my4pNSgdx?LgKM{G=yVh4va0^5$0PF!^r`sA354{)t=k~+z$BPcP zL~-Ny4*~G)6{cMHz0g1B)SC$SJs38u*Wl(+Bjz9WGKu&vz`uvu{48UB1cd$~pn}_z zOF`tXP!nq>D-(O*ct#s*;0K?Zt)rWr5;2Y6{YroH6t{u7y+MEgJjG%Cy3(YI{%_g8 zAN80YEdwJkY8)VJc>$ID-4YW2Z}MN8M=D@h1$ljW1rsKA!b z-%@}p#+3grLBGEr0<%UHvfo<4_}zai=B``dZb%F21N8J3crdyBEVTq=zT3I@pBBE) z(_7>)$-Dqn++_OOWVrl$$>6^o>G%4099}v{4d~+v@JfzbYM;BG{P*6HK9HeBBtieL zvU3lLs*2a@hqJ#ocvb)x<&qR#tiFvY4h8>Nupm3@a5C zH3?1B>|yzCM@w_8CXqDD_bbf|Ny4mg?0fFwvS;r(=WgpbqyK#F?|#qk_q)IIJLlY+ z#*<}Qcx2=QkmWoaG@iPIb(7F|`6bx*FstncQL(s(Cfoykf%>h&3%Dc8gxbZd;rgbn zSF@=ScTk3beS*4!@p$+s4XmrlDP4eV|IoY3GoxYuMc7aGX4AJTr_bihtN zB7@J!$(!1e>anVyeDA+(v)A@58XO05J^l`&L%83+DE&j;1e%j!it(W00&Bz5bc-sj9nDp|r znk;Eo02|z)I^o+7AV~<|^dz(ClmM)El`d?iuCU5}IY6Z#`W9jnv>c0Y}G;|8B-Jh4;tv!KQ8-`J%%j4cEe}25V!otka zz);X_ZW)3&0SS&SILALIfVy2xns<$6Ytgi`{i~s1pOtAb@F4;Fp21moK{d~b-_~q2 z4g&f;I592rx{eBH4f;;_rFj*1n3U!z0m{E|5i?G$y&XUv5l1^{8^p+ zDp$ebg5V%@`}A-Z0a`DZhc*w;_9icM?*b$}wcmwmforWE%@Tty#mJkA4GGV`;)Doa zp_rlFVt0%HE4hU$JjmKOTi{0fi%V;eF(-w2Px622sl?`I9o_7ebs@0$d00%>8TD~W zRPr>^8nGD%TXA^ns~J`M6WGs=XDK0QdlY)uwq0Qr(^ z{^OULryvR0?ne(|O`;Osm5ll9kLDjtnhtg_@&;YIBx;n{L~b40Rc!Z&FMcle9Vnvd|9mCl;u&7H&GkB5tNMzohV_|ic%=`t;EDwXnEYX0f)^ z7qEtB@!iGw(ZR4bL^drMK2repj1jiQFCYEUe;+)!8elp)w!SO?yQ2en$;{h%^;y{7 z-lQ^+&rH6bFJS9M3x6|q#db-A5EEtT|H}merev?{8O~4tv!mYBmqUwfNdNRCV(e>5 zY~mKJPg_o@gfB$w9s~CnCJX9k7AsxAwH##NZfEGc?^Z+x*u%l5Q&Hm*B{nghJYm=_ zQa2^;6iF9tL64!rd-YNQSvYv0UKb$!XM0Tpb2j2gt-*tEw@d3xbSmxbkD?JGx%U}c7P9(@2C z%DB+_gJG*e-k|4gy6*%)$y#Yu9%lwPi_(Rge&0R^=|EXuHHZGv z^b??akjZEp_Xi50>|6eH&GB!-hy!7O=%(y%?fJNrLWefLv}g*OdB4-T#R3zn!KEJy z>A`%aF58hUfNIap3nd$hhsead6Uv90ZB{D>N?yWsM-?$*HU@hI#H5e-`G>3BANF${ z_3l&9a<-d%-OuxgA2~RFW`f__WK$M7(@Gag2Om}QJ`rgu$aYwZ?S(C8F6Ckp@}Q6J zOC&o8{6MDXL2Dwo$~emCGQEU_!=RU;ATCyTH-|rvI4zkOoTt@AHHlEU#H)9wTGoh}0`14aYLF@@vqHPU~ z_JPW1nGouPqhr=pfLZ{rr>Uc3eV{(c*0uXBbsKn)>O+~WgM0Wu + + 4.0.0 + jouvieje + nativebass + 1.1.2 + POM was created from install:install-file + diff --git a/repo/jouvieje/nativebass/maven-metadata-local.xml b/repo/jouvieje/nativebass/maven-metadata-local.xml new file mode 100644 index 0000000..fdb8f65 --- /dev/null +++ b/repo/jouvieje/nativebass/maven-metadata-local.xml @@ -0,0 +1,12 @@ + + + jouvieje + nativebass + + 1.1.2 + + 1.1.2 + + 20171110213049 + + diff --git a/src/io/github/norbipeti/audiospectrum/Analyzer.java b/src/io/github/norbipeti/audiospectrum/Analyzer.java new file mode 100644 index 0000000..5f8eed0 --- /dev/null +++ b/src/io/github/norbipeti/audiospectrum/Analyzer.java @@ -0,0 +1,160 @@ +package io.github.norbipeti.audiospectrum; + +import org.bukkit.Bukkit; +import org.bukkit.command.CommandSender; +import static jouvieje.bass.Bass.*; +import static jouvieje.bass.defines.BASS_MUSIC.BASS_MUSIC_RAMP; +import static jouvieje.bass.defines.BASS_SAMPLE.BASS_SAMPLE_LOOP; + +import static jouvieje.bass.defines.BASS_DATA.BASS_DATA_FFT2048; +import static jouvieje.bass.utils.BufferUtils.newByteBuffer; +import static jouvieje.bass.utils.BufferUtils.SIZEOF_FLOAT; + +import java.io.File; +import java.nio.ByteBuffer; +import java.nio.FloatBuffer; +import java.util.Timer; +import java.util.TimerTask; + +import jouvieje.bass.BassInit; +import jouvieje.bass.exceptions.BassException; +import jouvieje.bass.structures.HMUSIC; +import jouvieje.bass.structures.HSTREAM; + +public class Analyzer //Based on NativeBass example 'Spectrum' +{ + /* display error messages */ + private final void error(String text, CommandSender sender) + { + System.out.println(text + " - " + BASS_ErrorGetCode()); + } + + private final void printfExit(String format, Object... args) + { + String s = String.format(format, args); + System.out.println(s); + stop(); + Bukkit.getPluginManager().disablePlugin(PluginMain.getPlugin(PluginMain.class)); + } + + private boolean init = false; + private boolean deinit = false; + + private int chan; + + public void init() + { + /* + * NativeBass Init + */ + try + { + BassInit.loadLibraries(); + } catch (BassException e) + { + printfExit("NativeBass error! %s\n", e.getMessage()); + return; + } + + /* + * Checking NativeBass version + */ + if (BassInit.NATIVEBASS_LIBRARY_VERSION() != BassInit.NATIVEBASS_JAR_VERSION()) + { + printfExit("Error! NativeBass library version (%08x) is different to jar version (%08x)\n", + BassInit.NATIVEBASS_LIBRARY_VERSION(), BassInit.NATIVEBASS_JAR_VERSION()); + return; + } + + /* ================================================== */ + + init = true; + } + + private ByteBuffer buffer; + private TimerTask tt; + + public FloatBuffer run(CommandSender sender, String file) + { + if (!init) + { + return null; + } + + // check the correct BASS was loaded + if (((BASS_GetVersion() & 0xFFFF0000) >> 16) != BassInit.BASSVERSION()) + { + printfExit("An incorrect version of BASS.DLL was loaded"); + return null; + } + + // initialize BASS + if (!BASS_Init(-1, 44100, 0, null, null)) + { + error("Can't initialize device", sender); + stop(); + return null; + } + if (!playFile(sender, file)) + { + // start a file playing + BASS_Free(); + stop(); + return null; + } + final int size = 1024 * SIZEOF_FLOAT; + if (buffer == null || buffer.capacity() < size) + buffer = newByteBuffer(size); + // setup update timer (50hz) + timer.scheduleAtFixedRate(tt = new TimerTask() + { + @Override + public void run() + { + BASS_ChannelGetData(chan, buffer, BASS_DATA_FFT2048); //Get the FFT data + } + }, 50, 50); + FloatBuffer floats = buffer.asFloatBuffer(); + return floats; + } + + public boolean isRunning() + { + return deinit; + } + + public void stop() + { + if (!init || deinit) + { + return; + } + deinit = true; + + tt.cancel(); + BASS_Free(); + } + + private boolean playFile(CommandSender sender, String file) + { + if (!new File(file).exists()) + { + sender.sendMessage("§cFile not found: " + file); + } + HSTREAM stream = null; + HMUSIC music = null; + if ((stream = BASS_StreamCreateFile(false, file, 0, 0, BASS_SAMPLE_LOOP)) == null + && (music = BASS_MusicLoad(false, file, 0, 0, BASS_MUSIC_RAMP | BASS_SAMPLE_LOOP, 0)) == null) + { + error("Can't play file", sender); + return false; // Can't load the file + } + + chan = (stream != null) ? stream.asInt() : ((music != null) ? music.asInt() : 0); + + BASS_ChannelPlay(chan, false); + return true; + } + + private Timer timer = new Timer(); +} diff --git a/src/io/github/norbipeti/audiospectrum/BarsRenderer.java b/src/io/github/norbipeti/audiospectrum/BarsRenderer.java index 0cc21ca..f0487b9 100644 --- a/src/io/github/norbipeti/audiospectrum/BarsRenderer.java +++ b/src/io/github/norbipeti/audiospectrum/BarsRenderer.java @@ -7,18 +7,19 @@ import org.bukkit.map.*; public class BarsRenderer extends BarsRendererBase { - private boolean single = false; + private boolean single = true; public BarsRenderer(int[] bars) { super(bars); + //System.out.println("black: " + MapPalette.matchColor(Color.black)); + //System.out.println("BLACK: " + MapPalette.matchColor(Color.BLACK)); } @SuppressWarnings("deprecation") @Override public void render(MapView mv, MapCanvas mc, Player pl) { //Width: 8, empty space: 8, count per map: 8 - //if (firstrender ? !(firstrender = !firstrender) : firstrender) if (firstrender < 4 ? firstrender++ < 4 : false) //Only increment if true for (int i = 0; i < 128; i++) for (int j = 0; j < 128; j++) @@ -35,7 +36,6 @@ public class BarsRenderer extends BarsRendererBase return; } int offsetx = mv.getId() % 2 * 8, offsety = mv.getId() < 2 ? -128 : 0; - //System.out.println("OX: " + offsetx + " OY: " + offsety + " ID: " + mv.getId()); for (int i = 0; i < 8 && i < count - offsetx; i++) for (int j = 0; j < 128; j++) for (int k = 0; k < 8; k++) diff --git a/src/io/github/norbipeti/audiospectrum/PluginMain.java b/src/io/github/norbipeti/audiospectrum/PluginMain.java index 39a3cd2..5ec7b94 100644 --- a/src/io/github/norbipeti/audiospectrum/PluginMain.java +++ b/src/io/github/norbipeti/audiospectrum/PluginMain.java @@ -12,7 +12,7 @@ import org.bukkit.plugin.java.JavaPlugin; public class PluginMain extends JavaPlugin { - private Thread thread; + //private Thread thread; private boolean running = false; private volatile int[] bars = new int[16]; private BarsRenderer br; @@ -31,16 +31,13 @@ public class PluginMain extends JavaPlugin map.getRenderers().clear(); map.addRenderer(br); } - thread = new Thread() - { - @Override - public void run() - { - PluginMain.this.run(5896); - } - }; - running = true; - thread.start(); + //thread = new Thread(() -> PluginMain.this.run(5896)); + //running = true; + //thread.start(); + Analyzer an = new Analyzer(); + Bukkit.getConsoleSender().sendMessage("§bInitializing analyzer..."); + an.init(); //TODO: Add command to play music, test + Bukkit.getConsoleSender().sendMessage("§bDone!"); } // Fired when plugin is disabled @@ -65,8 +62,6 @@ public class PluginMain extends JavaPlugin while (running) { serverSocket.receive(receivePacket); - //bars[Byte.toUnsignedInt(packet[0])] = Byte.toUnsignedInt(packet[1]); - //System.out.println("Index: " + Byte.toUnsignedInt(packet[0]) + " Value: " + bars[Byte.toUnsignedInt(packet[0])]); for (int i = 0; i < packet.length && i < bars.length; i++) bars[i] = Byte.toUnsignedInt(packet[i]); }